導航:首頁 > 裝置知識 > 車頭燈控制裝置邏輯設計

車頭燈控制裝置邏輯設計

發布時間:2021-02-25 04:48:43

⑴ 燈光控制器的設計 設計一個燈光控制邏輯電路

⑵ 汽車尾燈控制時序邏輯電路設計畢業論文

基於VHDL語言的汽車尾燈控制電路的設計

摘要:本課題主要是基於可編程邏輯器件,使用硬體描述語言VHDL,採用「自頂向下」的設計方法編寫程序實現汽車尾燈的控制,並對控制器進行編程下載,它的體積小,功耗低,成本低,安全可靠,能實現控制器的在系統編程,其升級與改進極為方便。
關鍵詞: VHDL 汽車尾燈控制 時鍾信號
1. 尾燈控制電路總框圖,

根據電路總框圖的描述,我們大概可以了解到整個汽車控制尾燈的工作原理,從中我們可以發現當左右轉信號同時有效時,6盞燈的閃爍是通過一個與非門實現的。並且可以獲知本次設計的汽車尾燈控制電路主要分為三個模塊,即控制模塊,左轉LFTA模塊和右轉RITA模塊。了解到這幾點,就可以對本次設計作較為詳盡的解釋。
2.模塊KONG。
模塊KONG如圖所示,此為整個程序的控制模塊。程序如下:

Library ieee;
Use ieee.std_logic_1164.all;
Entity kong is
Port(left,right:in std_logic;
Lft,rit,lr:out std_logic);
End kong;
Architecture kong_logic of kong is
Begin
Process(left,right)
Variable a:std_logic_vector(1 downto 0);
Begin
A:=left & right;
Case a is
When」00」=>lft<=』0』;
Rit<=』0』;
Lr <=』0』;
When」10」=>lft<=』1』;
Rit<=』0』;
Lr <=』0』;
When」01」=>rit<=』1』;
Lft<=』0』;
Lr <=』0』;
When other=>rit<=』1』;
lft<=』1』;
lr<=』1』;
end case;
end process;
end kong_arc;

控制模塊首先使用了庫說明語句:library ieee;
Use ieee.std_logic_1164.all
使用ieee庫中的std_logic_1164程序包的全部資源。此控制模塊定義的實體名為kong。在程序中要求實體名與存儲的文件名一致。實體名為kong,則存儲的文件名為kong.vhd。且此段程序包有5個埠,其名稱分別為left. Right. Lft. Rit. Lr 。left 和right的埠方式是輸入,lft, rit, lr 是輸出,他們的埠類型都是std_logic的數據類型。實體說明部分結束以後,就是結構體的說明部分。結構體是整個VHDL語言中至關重要的一個組成部分,這個部分給出模塊的具體說明,指定輸入與輸出之間的行為。結構體對實體的輸入輸出關系可以用三種關進行描述,即行為描述,寄存器傳輸描述和結構描述。只不過結構體的框架是完全一樣的。本結構體中包含有一個進程語句,進程語句中又包含有兩個敏感量process(left ,right),從begin開始到end process結束是一組順序執行語句,ieee標准數據類型「std_logic_vector」定義了兩位位矢量1downto 0,變數為a。程序往下把left和right的與賦值給a,下面便執行case語句了 ,case語句是無序的,所以所有條件表達式的值都是並行處理的。當條件表達式的值為」00」時則把lft ,rit ,lr,都變為0,所有信號都無效。當條件表達式為」10」時,左轉信號lft有效,其它信號都無效,當條件表達式的值為」01」時右轉信號rit有效,其餘的無效。若條件表達式為其它的情況的話,那麼就將rit ,lft ,lr 全部置1,即全部有效。最後結束case語句 end case .結束進程和結構體語句。
3. 模塊LFTA

源程序:
Library ieee;
Use ieee.std_logic_1164.all;
Entity lfta is
Port(en,clk,lr:in std_logic;
L2,l1,l0:out std_logic);
End lfta;
Architecture lft_arc of lfta is
Begin
Process(clk,en,lr)
Variable tmp:std_logic_vector(2 downto 0);
Begin
If lr=』1』 then
Tmp:=」111」;
Elsif en=』0』 then
Tmp:=」000」;
Elsif clk』event and clk=』1』 then
If tmp=」000」 then
Tmp:=」001」;
Else
Tmp:=tmp(1 downto 0) & 『0』;
End if ;
End if;
L2<=tmp(2);
L1<=tmp(1);
L0<=tmp(0);
End process;
End lft_arc;
模塊LFTA同樣使用了ieee庫語句,定義的實體名為lfta,其共分為六個埠即en,clk,lr,l2,l1,l0,其中en,clk,lr為輸入,l2,l1,l0的埠方式為輸出,而它的埠類型同樣也為std_logic數據類型。LFTA程序中結構體名為lft_arc,實體名為lfta 。結構體中包含有一個進程,共定義了三個敏感量clk,en,lr,設變數名tmp為2 downto 0 的三位位矢量。當左右開關同時接通時lr有效,即lr=1,此時tmp:=」111」右邊的三盞燈全亮起來,當tr=1時但en=0則左邊三盞燈全滅不亮。而如果這兩種情況都不是的話,那麼lr=』0』時當時鍾上升沿脈沖到來時,如果tmp=」000」則左邊第一盞燈亮,否則就將tmp(1 downto 0)和』0』的與賦值給tmp,那麼依次左邊的三盞燈就能實現從左到右按次序亮滅了。最後將tmp(2)送到l2,tmp(1)送到l1,tmp(0)送到lo,結束程序和結構體。這就是在實現左轉彎的時候執行的程序的全過程。通過對左轉的理解,右轉彎就很容易了,其執行的過程和左轉彎的時候非常相似的 。我們也可發現LFTA模塊的功能是當左轉時控制左邊的三盞燈,當左右轉信號都有效時,輸出為全』1』。下面來看一下右轉彎控制模塊。
4.模塊RITA

源程序:
Library ieee;
Use ieee.std_logic_1164.all;
Entity rita is
Port(en,clk,lr:in std_logic;
R2,r1,r0:out std_logic);
End rita;
Architecture rit_arc of rita is
Begin
Process(clk,en,lr)
Variable tmp:std_logic_vector(2 downto 0);
Begin
If lr=』1』 then
Tmp:=」111」;
Elsif en=』0』 then
Tmp:=」000」;
Elsif clk』event and clk=』1』 then
If tmp=」000」 then
Tmp:=」100」;
Else
Tmp:=』0』 & tmp(2 downto 1);
End if;
End if ;
R2<=tmp(2);
R1<=tmp(1);
R0<=tmp(0);
End process;
End rit_arc;
和左轉彎時候的相同,右轉彎時再次使用了ieee的庫說明,這樣我們可以很清楚的理解了右轉彎的原理,此時庫定義的實體名為rita,對於實體名前面已經講過了不再重復了,同樣的程序包中還是使用了6個埠en ,clk,lr,r2,r1,r0. en ,clk, lr的埠方式是輸入,r2,r1,r0的埠方式是輸出。結構體中和左轉時相同引入一個進程同時和三個敏感量:clk,en,lr。變數tmp為2downto 0的三位位矢量。當左右開關同時接通時lr=』1』,那麼此時變數tmp=』111』,即右面的三盞燈都有信號,三盞燈全亮。否則lr=』0』,當en=』0』時,tmp=』000』,即三盞燈全滅掉。Elsif clk』event and clk=『1』即當時鍾脈沖上升沿到來時,en=』1』,如果tmp=」000」,就把」100」送到tmp 此時右邊的第一盞燈亮。否則就把』0』和tmp(2 downto 1)的與送到tmp,則依次為右邊第一盞燈,第二盞,第三盞亮。然後結束if語句。這個之後就和左轉的程序是一樣的了,將tmp(2)中的數值送到r2,將tmp(1)中的數值送到r1,將tmp(0)中的數據送到r0,然後結束進程語句和整個結構體語句。那麼到這里整個汽車尾燈的VHDL程序控制就結束了。
5.結論:
本次設計用到了硬體描述語言VHDL實現了對汽車尾燈的控制,總結整個設計程序我們可以發現一些問題;
設計中的優點:基本實現了汽車在運行時候尾燈點亮方式的各種情況。
設計中的不足:由於在行車的時候都是用開關控制的,所以每一個開關應該有一個消除機械振動的裝置,可以利用基本RS觸發器來實現,所以在條件允許的情況下可以對整個設計進行進一步的改進。
6.參考資料:
王振紅 《VHDL數字電路設計與應用實踐教程》 機械工業出版社 2006年1月
彭容修 《數字電子技術基礎》 武漢理工大學出版社 2005年9月
潘松 黃繼業 《EDA技術與VHDL》 清華大學出版社 2006年11月

2009.12.27

library ieee;
use ieee.std_logic_1164.all;

entity ZHUKONG is
Port(left,right:in std_logic;
Lft,rit,lr:out std_logic);
end;

architecture kong_arc of ZHUKONG is
begin

Process(left,right)
Variable a:std_logic_vector(1 downto 0);
Begin
A:=left & right;
Case a is
When"00"=>lft<='0';
Rit<='0';
Lr <='0';
When"10"=>lft<='1';
Rit<='0';
Lr <='0';
When"01"=>rit<='1';
Lft<='0';
Lr <='0';
When others=>rit<='1';
lft<='1';
lr<='1';
end case;
end process;
end kong_arc;

library ieee;
use ieee.std_logic_1164.all;

entity LFTA is
Port(en,clk,lr:in std_logic;
L2,l1,l0:out std_logic);

end;

architecture lft_arc of LFTA is
begin
Process(clk,en,lr)
Variable tmp:std_logic_vector(2 downto 0);
Begin
If lr='1' then
Tmp:="111";
Elsif en='0' then
Tmp:="000";
Elsif clk'event and clk='1' then
If tmp="000" then
Tmp:="001";
Else
Tmp:=tmp(1 downto 0) & '0';
End if;
End if;
L2<=tmp(2);
L1<=tmp(1);
L0<=tmp(0);
End process;
end lft_arc;

library ieee;
use ieee.std_logic_1164.all;

entity RITA is
Port(en,clk,lr:in std_logic;
R2,r1,r0:out std_logic);
end;

architecture rit_arc of RITA is
begin
Process(clk,en,lr)
Variable tmp:std_logic_vector(2 downto 0);
Begin
If lr='1' then
Tmp:="111";
Elsif en='0' then
Tmp:="000";
Elsif clk'event and clk='1' then
If tmp="000" then
Tmp:="100";
Else
Tmp:='0' & tmp(2 downto 1);
End if;
End if ;
R2<=tmp(2);
R1<=tmp(1);
R0<=tmp(0);
End process;
end rit_arc;

⑶ 時序邏輯電路設計題目 汽車尾燈控制電路

汽車尾燈控制電路
簡介:設計要求: 假設汽車尾部左右兩側各有三個指示燈(用發光二極體模擬) a.汽車正常運行時指示燈全滅; b.右轉彎時,右側三個指示燈按右循環順序點亮; c.左轉彎時左側三個指示燈按左循環順序點亮;
設計要求: 假設汽車尾部左右兩側各有三個指示燈(用發光二極體模擬)
a.汽車正常運行時指示燈全滅;
b.右轉彎時,右側三個指示燈按右循環順序點亮;
c.左轉彎時左側三個指示燈按左循環順序點亮;
d.臨時剎車時所有指示燈隨著CP時鍾脈沖同步閃爍.
設計步驟:
1.設計
1>列出汽車尾燈與汽車運行狀態關系表,如下表所示。其中CP為控制指示燈閃爍的脈沖信號。
.
2> 尾燈控制電路原理分析及總體框圖設計。由於汽車左右轉彎時,三個指示燈循環點亮,所以用三進制計數器控制解碼器電路順序輸出高電平,從而控制尾燈按要求點亮。由此得出在每種運行狀態下,各指示燈與各給定條件(M1、M0、CP、Q1、Q0)的關系,即邏輯功能表如下表7所示。(表中0表示燈滅狀態,1表示燈亮狀態)。
汽車尾燈控制邏輯功能表
..
由上述邏輯分析得出總體方案框圖如下圖所示。它包括模式控制電路、三進制計數器、解碼器和顯示驅動等四部分電路組成

⑷ 汽車尾燈控制電路的設計

1)取 左、右各一隻黃色LED,以表現左、右轉向。取 左、右各一隻紅色LED,以表現剎車。
停車?回也有燈指示?會是答什麼燈呢?那就用白色LED吧;
2)表2-1沒看到呢;會是這樣?
K1 、K0
0 、 0 ----- 停車
0 、 1 ----- 右轉向
1 、 0 ----- 左轉向
1 、 1 ----- 剎車

⑸ 交通燈控制邏輯電路設計的內容簡介

1.設計一個十字路口的交通燈控制電路,要求甲車道和乙車道兩條交叉道路上的車輛交替運行,每次通行時間都設為25秒;
2.要求黃燈先亮5秒,才能變換運行車道;
3.黃燈亮時,要求每秒鍾閃亮一次 。 1.復習數字系統設計基礎。
2.復習多路數據選擇器、二進制同步計數器的工作原理。
3.根據交通燈控制系統框圖,畫出完整的電路圖。 1.分析系統的邏輯功能,畫出其框圖
交通燈控制系統的原理框圖如圖12、1所示。它主要由控制器、定時器、解碼器和秒脈沖信號發生器等部分組成。秒脈沖發生器是該系統中定時器和控制器的標准時鍾信號源,解碼器輸出兩組信號燈的控制信號,經驅動電路後驅動信號燈工作,控制器是系統的主要部分,由它控制定時器和解碼器的工作。圖中:
TL: 表示甲車道或乙車道綠燈亮的時間間隔為25秒,即車輛正常通行的時間間隔。定時時間到,TL=1,否則,TL=0。
TY:表示黃燈亮的時間間隔為5秒。定時時間到,TY=1,否則,TY=0。
ST:表示定時器到了規定的時間後,由控制器發出狀態轉換信號。由它控制定時器開始下個工作狀態的定時。
2.畫出交通燈控制器的ASM(Algorithmic State Machine,演算法狀態機)
(1)圖甲車道綠燈亮,乙車道紅燈亮。表示甲車道上的車輛允許通行,乙車道禁止通行。綠燈亮足規定的時間隔TL時,控制器發出狀態信號ST,轉到下一工作狀態。
(2)甲車道黃燈亮,乙車道紅燈亮。表示甲車道上未過停車線的車輛停止通行,已過停車線的車輛繼續通行,乙車道禁止通行。黃燈亮足規定時間間隔TY時,控制器發出狀態轉換信號ST,轉到下一工作狀態。
(3)甲車道紅燈亮,乙車道綠燈亮。表示甲車道禁止通行,乙車道上的車輛允許通行綠燈亮足規定的時間間隔TL時,控制器發出狀態轉換信號ST,轉到下一工作狀態。
(4)甲車道紅燈亮,乙車道黃燈亮。表示甲車道禁止通行,乙車道上位過縣停車線的車輛停止通行,已過停車線的車輛停止通行,已過停車線的車輛繼續通行。黃燈亮足規定的時間間隔TY時,控制器發出狀態轉換信號ST,系統又轉換到第(1)種工作狀態。
交通燈以上4種工作狀態的轉換是由控制器器進行控制的。設控制器的四種狀態編碼為00、01、11、10,並分別用S0、S1、S3、S2表示,則控制器的工作狀態及功能如表12、1所示,控制器應送出甲、乙車道紅、黃、綠燈的控制信號。為簡便起見,把燈的代號和燈的驅動信號合二為一,並作如下規定:
表12、1 控制器工作狀態及功能 控制狀態 信號燈狀態 車道運行狀態 S0(00) 甲綠,乙紅 甲車道通行,乙車道禁止通行 S1(01) 甲黃,乙紅 甲車道緩行,乙車道禁止通行 S3(11) 甲紅,乙綠 甲車道禁止通行,甲車道通行 S2(10) 甲紅,乙黃 甲車道禁止通行,甲車道緩行 AG=1:甲車道綠燈亮;
BG=1:乙車道綠燈亮;
AY=1:甲車道黃燈亮;
BY=1:乙車道黃燈亮;
AR=1:甲車道紅燈亮;
BR=1:乙車道紅燈亮;
由此得到交通燈的ASM圖,如 圖12、2所示。設控制器的初始狀態為S0(用狀態框表示S0),當S0的持續時間小於25秒時,TL=0(用判斷框表示TL),控制器保持S0不變。只有當S0的持續時間等於25秒時,TL=1,控制器發出狀態轉換信號ST(用條件輸出框表示ST),並轉換到下一個工作狀態。依此類推可以弄懂ASM圖所表達的含義。
3.單元電路的設計
(1)定時器
定時器由與系統秒脈沖(由時鍾脈沖產生器提供)同步的計數器構成,要求計數器在狀態信號ST作用下,首先清零,然後在時鍾脈沖上升沿作用下,計數器從零開始進行增1計數,向控制器提供模5的定時信號TY和模25的定時信號TL。
計數器選用集成電路74LS163進行設計較簡便。74LS163是4位二進制同步計數器,它具有同步清零、同步置數的功能。74LS163的外引線排列圖和時序波形圖如圖12、3所示,其功能表如表12、2所示。圖中, 是低電平有效的同步清零輸入端, 是低電平有效才同步並行置數控制端,CTp、CTT是計 圖12.2 交通燈的ASM圖數控制端,CO是進位輸出端,D0~D3是並行數據輸入端,Q0~Q 3是數據輸出端。由兩片74LS163級聯組成的定時器電路如圖12、4所示。電路的工作原理請自行分析。
圖12、3 74LS163的外引線排列圖和時序波形圖
(2)控制器
控制器是交通管理的核心,它應該能夠按照交通管理規則控制信號燈工作狀態的轉換。從ASM圖可以列出控制器的狀態轉換表,如表12、3所示。選用兩個D觸發器FF1、FFO做為時序寄存器產生 4種狀態,控制器狀態轉換的條件為TL和TY,當控制器處於Q1n+1Q0n+1= 00狀態時,如果TL= 0,則控制器保持在00狀態;如果,則控制器轉換到Q1n+1Q0n+1= 01狀態。這兩種情況與條件TY無關,所以用無關項X表示。其餘情況依次類推,同時表中還列出了狀態轉換信號ST。
圖12、4 定時器電路圖
表12.2 74LS163功能表
表12.3 控制器狀態轉換表
根據表12.3、可以推出狀態方程和轉換信號方程,其方法是:將Q1n+1、Q0n+1和 ST為1的項所對應的輸入或狀態轉換條件變數相與,其中1用原變數表示,0用反變數表示,然後將各與項相或,即可得到下面的方程:
根據以上方程,選用數據選擇器 74LS153來實現每個D觸發器的輸入函數,將觸發器的現態值( )加到74LS153的數據選擇輸入端作為控制信號.即可實現控制器的功能。控制器的邏輯圖如圖12.5所示。圖中R、C構成上電復位電路 。
圖 12、5控制器邏輯圖
(3)解碼器
解碼器的主要任務是將控制器的輸出 Q1、 Q0的4種工作狀態,翻譯成甲、乙車道上6個信號燈的工作狀態。控制器的狀態編碼與信號燈控制信號之間的關系如表 12、4所示。實現上述關系的解碼電路請讀者自行設計。 1. 數字電路實驗箱
2. 集成電路74LS74 1片,74LS10 1片,74LS00 2片,74LS153 2片,74LS163 2片,NE555 1片
3. 電阻 51KΩ 1隻,200Ω 6隻
4. 電容 10Uf 1隻
5. 其它 發光二極體 6隻 表12、4控制器狀態編碼與信號燈關系表
狀態 AG AY AR BG BY BR
0 0 1 0 0 0 0 1
0 1 0 1 0 0 0 1
1 0 0 0 1 1 0 0
1 1 0 0 1 0 1 0
1.設計、組裝解碼器電路,其輸出接甲、乙車道上的6隻信號燈(實驗時用發光二極體代替),驗證電路的邏輯功能。
2.設計、組裝秒脈沖產生電路。
3.組裝、調試定時電路。當 CP信號為 1Hz正方波時,畫出CP、 Q0、 Q1、 Q2、Q3、Q4、TL.、TY的波形,並注意它們之間一的時序關系。
4.組裝、調試控制器電路。
5.完成交通燈控制電路的聯調,並測試其功能。 1.畫出實驗電路原理圖,並標明各元件的參數值。
2.繪出實驗中的時序波形,整理實驗數據,並加以說明。
3.寫出實驗過程中出現的故障現象及其解決辦法。
4.回答思考題。
5.心得體會與建議。

⑹ 汽車尾燈控制器設計(EDA程序設計)

這是1個數電的邏輯電路,只需列出輸入、輸出信號的邏輯關系即可。
輸入信號有:剎車信號(回S=1有效)答,左轉彎信號(L=1),右轉彎信號(R=1),夜間信號(N=1);
另外,還要有1個時鍾信號(2Hz)。
輸出信號有6個燈的驅動信號。
邏輯關系:
SLRN=0000-白天正常行駛;
SLRN=0001-夜間正常行駛;
。。。。
4個輸入共16種組合,列出真值表,卡洛圖化簡,寫出表達式,再轉換相應的EDA語言即可。

⑺ 數字邏輯課程設計 汽車尾燈控制電路

怎麼老是會出這樣簡單問題復雜化而且不切合實際的設計問題?哪個學校的?真難為你們這些學生了。

⑻ 求設計一個燈光控制邏輯電路

可以參考下面問題回覆。


⑼ 交通燈控制邏輯電路設計

交通燈通常指由紅、黃、綠三種顏色燈組成用來指揮交通的信號燈。綠燈亮時,准許車輛通行,黃燈亮時,已越過停止線的車輛可以繼續通行;紅燈亮時,禁止車輛通行。

閱讀全文

與車頭燈控制裝置邏輯設計相關的資料

熱點內容
廢品機械師下載之後怎麼進去 瀏覽:497
單機暗黑2工具箱怎麼用 瀏覽:850
鑫達五金機電設備銷售中心怎麼樣 瀏覽:590
機械手爪如何實現抓取可控 瀏覽:764
管道閥門特殊件 瀏覽:215
製冷和除濕是什麼意思 瀏覽:200
一T機械硬碟耗多少電 瀏覽:306
鹽霧實驗裝置圖 瀏覽:802
電子測壓儀表多少錢 瀏覽:435
山西晉中有哪些工程機械維修公司 瀏覽:109
軸承鋼用什麼水 瀏覽:417
銳界換儀表後怎麼刷成中文 瀏覽:63
領動儀表盤燈光怎麼調 瀏覽:137
儀表上顯示鎖什麼意思 瀏覽:953
自來水地下管道閥門全部圖解 瀏覽:97
水滴輪微調帽里的軸承是什麼型號 瀏覽:259
秦皇島哪裡有消防器材 瀏覽:24
運輸機械設備多少錢 瀏覽:148
定製櫥櫃多少錢一米包括五金件嗎 瀏覽:938
飼料機械有哪些 瀏覽:582