導航:首頁 > 裝置知識 > 計程車里程計量裝置的設計

計程車里程計量裝置的設計

發布時間:2022-09-18 23:26:37

⑴ 的士打表怎麼算的

收費計算方式基本是按照「里程+時間」的模式。

以北京計程車為例:

1.白天(早5:00--晚22:59)。

起步價10元。

超出三公里至十五公里以內的公里數每公里按2元計費。

超出十五公里以外的公里數按3元計費。

2.夜間(晚23:00-早4:59)。

起步價11元。(每公里另加收20%的夜間費用)

超出三公里至十五公里以內的公里數每公里按2元計費。(每公里另加收20%的夜間費用)

超出十五公里以外的公里數按3元計費。(每公里另加收20%的夜間費用)

3.等候費。

計價器按照等候時間累計滿5分鍾加收1公里運價。

不足5分鍾的不計費。

由於承運人原因如車輛拋錨、肇事等原因造成的停車等候,不收取等候費。

展資料

計程車計價器,如果車速大於一定的值,採用公里數計算價格,如果車速小於這個值,就可以用時間計算。

計算公里數:應用晶元計算其公里數。

計算時間:用到晶元定時器確定時間,或者用RTC中斷採集到更精確的時間,以及價格,可以用晶元驅動數碼管或者用晶元驅動液晶空車牌來提供計價器計價的信號。車速信號為脈沖信號,採集脈沖信號的頻率就可以得到車速,可以用到晶元的定時器捕獲。

參考資料

計程車計價器-網路


⑵ EDA課程設計,用VHDL編程做計程車計費器

課程設計內容與要求
1,用開關按鍵表示脈沖,每個脈沖代表100米,10個脈沖1公里,每公里1.4元,能同步顯示里程和費用;
2,低於2公里5元計費,高於2公里總費用=起步費用+(里程-2公里)*里程單價+
等候時間*等後單價;
3,等候時間大於2分鍾,按每分鍾1.3元計費;
4,可以設定起步價和里程單價。
一、設計原理與技術方法:
包括:電路工作原理分析與原理圖、元器件選擇與參數計算、電路調試方法與結果說明;
軟體設計說明書與流程圖、軟體源程序代碼、軟體調試方法與運行結果說明。
根據設計要求,系統的輸入信號clk,計價開始信號start,等待信號stop,里程脈沖信號fin。系統的輸出信號有:總費用數C0—c3,行駛距離k0—k1,等待時間m0—m1等。系統有兩個脈沖輸入信號clk_750k,fin,其中clk_750k將根據設計要求分頻成14hz,15hz和1hz分別作為公里計費和超時計費的脈沖。兩個控制輸入開關start,stop;控制過程為:start作為計費開始的開關,當start為高電平時,系統開始根據輸入的情況計費。當有乘客上車並開始行駛時,fin脈沖到來,進行行駛計費,此時的stop需要置為0;如需停車等待,就把stop變為高電平,
並去除fin輸入脈沖,進行等待計費;當乘客下車且不等待時,直接將start置為0,系統停止工作;價格開始歸為起步價5.0元。
整個設計由分頻模塊,計量模塊,計費模塊,控制模塊和顯示模塊五個部分組成。
其中計量模塊是整個系統實現里程計數和時間計數的重要部分;控制模塊是實現不同計費方式的選擇部分,根據所設計的使能端選擇是根據里程計費還是根據等待時間計費,同時設計通過分頻模塊產生不同頻率的脈沖信號來實現系統的計費。計量模塊採用1hz的驅動信號,計費模塊採用14hz,13hz的驅動信號;計量模塊每計數一次,計量模塊就實現14次或者13次計數,即為實現計時的1.3元/min,計程時的1.4元/km的收費。組成框圖如下所示:

1.百進制模塊:
實現百米脈沖的驅動信號,元件框圖如圖3所示:

圖3 百進制模塊框圖
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity jin is
port(start,clk2: in std_logic; --秒脈沖
a: out std_logic_vector(3 downto 0));
end jin;
architecture rt1 of jin is
signal count_1:std_logic_vector(3 downto 0);
begin
a<=count_1;
process(start,clk2)
begin
if(start='0')then
count_1<="0000";
elsif(clk2'event and clk2='1')then
if(count_1="0111")then
count_1<="0000";
else
count_1<=count_1+'1';
end if;
end if;
end process;
end rt1

2.計費模塊
; 實現里程和等候時間的計費並輸出到顯示,元件框圖4如下:

圖4 計費模塊框圖

源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity jifei is
port(clk2:in std_logic; --計費驅動信號
start:in std_logic; --計費開始信號
c0,c1,c2,c3:buffer std_logic_vector(3 downto 0));
end jifei;
architecture rt1 of jifei is
begin
process(clk2,start)
begin
if start='0'then c3<="0000";c2<="0000";c1<="0101";c0<="0000"; --起步價5元
elsif clk2'event and clk2='1'then
if c0="1001" then c0<="0000";
if c1="1001" then c1<="0000";
if c2="1001" then c2<="0000";
if c3="1001" then c3<="0000";
else c3<=c3+1;
end if;
else c2<=c2+1;
end if;
else c1<=c1+1;
end if;
else c0<=c0+1;
end if;
end if;
end process;
end rt1;

3.公里模塊
實現歷程的計數和輸出計費脈沖,元件框圖5如下:

圖5 公里模塊框圖
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity gongli is
port(clk1,start: in std_logic; --百米脈沖
k1,k2,k3,k4: out std_logic_vector(3 downto 0); --里程顯示
temp2 : out std_logic);
end gongli;

architecture rt1 of gongli is
signal count_1: std_logic_vector(3 downto 0);
signal count_2: std_logic_vector(3 downto 0);
signal count_3: std_logic_vector(3 downto 0);
signal count_4: std_logic_vector(3 downto 0);
begin
k1<=count_1;
k2<=count_2;
k3<=count_3;
k4<=count_4;
process(start,clk1)
begin
if(start='0')then
count_1<="0000";
count_2<="0000";
count_3<="0000";
count_4<="0000"; ---公里清零
elsif(clk1'event and clk1='1')then
if(count_1="1001")then --公里計數器
count_1<="0000";count_2<=count_2+1;temp2<='1';
if(count_2="1001")then
count_2<="0000";count_3<=count_3+'1';
if(count_3="1001")then
count_3<="0000";count_4<=count_4+'1';
end if;
end if;
else
count_1<=count_1+'1';temp2<='0';
end if;
end if;
end process;
end rt1;

4.輸出模塊
實現所有數據的輸出,元件框圖6如下:

圖6 輸出模塊框圖
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity shuchu is
port(y: in std_logic_vector(3 downto 0);
e: out std_logic_vector(6 downto 0));
end shuchu;

architecture rt1of shuchu is
begin
process
begin
case y is
when"0000"=>e<="0111111";
when"0001"=>e<="0000110";
when"0010"=>e<="1011011";
when"0011"=>e<="1001111";
when"0100"=>e<="1100110";
when"0101"=>e<="1101101";
when"0110"=>e<="1111101";
when"0111"=>e<="0000111";
when"1000"=>e<="1111111";
when"1001"=>e<="1100111";
when others=>e<="0000000";
end case;
end process;
end rt1;

5.顯示模塊
實現所有數據的顯示,元件框圖7如下:

圖7 顯示模塊框圖
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity xianshi is
port(start: in std_logic;
a:in std_logic_vector(3 downto 0); --選擇信號
c1,c2,c3,c4,out1,out2,out3,out4:in std_logic_vector(3 downto 0); --里程顯示,時間顯示輸入
y:out std_logic_vector(3 downto 0)); --里程顯示,時間顯示輸出
end xianshi;
architecture rt1 of xianshi is
begin
process
begin
if(start='0')then
y<="0000";
else case a is
when "0000"=> y<=c1 ;
when "0001"=> y<=c2 ;
when "0010"=> y<=c3 ;
when "0011"=> y<=c4 ;
when "0100"=> y<=out1 ;
when "0101"=> y<=out2;
when "0110"=> y<=out3 ;
when "0111"=> y<=out4;
when others =>y<= "0000";
end case;
end if;
end process;
end rt1;

6.dian模塊

圖8 dian模塊框圖
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity dian is
port(a: in std_logic_vector(3 downto 0);
e: out std_logic);
end dian;
architecture rt1 of dian is
begin
process
begin
case a is
when "0001"=>e<='1';
when "0101"=>e<='1';
when others=>e<='0';
end case;
end process;
end rt1;

三、中各個模塊設計分析
系統總體頂層框圖如下:

系統總體頂層框圖

程序最終功能實現波形模擬

1. 分頻模塊
由於實驗箱上沒有14hz和13hz的整數倍時鍾信號,因此採用頻率較大的750khz進行分頻,以近似得到14hz,13hz和1hz的時鍾頻率。通過以上三種不同頻率的脈沖信號實行計程車行駛,等待兩種情況下的不同計費。模塊元件如下:

分頻模塊框圖
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity fenpin is
port(clk_750k:in std_logic; --系統時鍾
clk_14:buffer std_logic; --14分頻
clk_13:buffer std_logic; --13分頻
clk_1 : buffer std_logic); --1分頻
end fenpin ;
architecture rt1 of fenpin is
signal q_14:integer range 0 to 53570; --定義中間信號量
signal q_13:integer range 0 to 57691;
signal q_1:integer range 0 to 749999;
begin
process(clk_750k)
begin
If(clk_750k' event and clk_750k='1')then
If q_14=53570 then q_14<=0;clk_14<=not clk_14;
else q_14<=q_14+1;
end if; --得14hz頻率信號
If q_13=57691 then q_13<=0;clk_13<=not clk_13;
else q_13<=q_13+1;
end if; --得13hz頻率信號
If q_1=749999 then q_1<=0;clk_1<=not clk_1;
else q_1<=q_1+1;
end if; --得1hz頻率信號
end if;
end process;
end rt1;

2. 計量模塊
計量模塊主要完成計時和計程功能。
計時部分:計算乘客的等待累積時間,當等待時間大於2min時,本模塊中en1使能信號變為1;當clk1每來一個上升沿,計時器就自增1,計時器的量程為59min,滿量程後自動歸零。
計程部分:計算乘客所行駛的公里數,當行駛里程大於2km時,本模塊中en0使能信號變為1;當clk每來一個上升沿,計程器就自增1,計程器的量程為99km,滿量程後自動歸零。
元件框圖為:

計量模塊框圖

計量模塊模擬波形為:

源程序如下:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity jiliang is
port(start:in std_logic; --計費開始信號
fin:in std_logic; --里程脈沖信號
stop:in std_logic; --行駛中途等待信號
clk1:in std_logic; --驅動脈沖
en1,en0:buffer std_logic; --計費單價使能信號
k1,k0:buffer std_logic_vector(3 downto 0); --行駛公里計數
m1,m0:buffer std_logic_vector(3 downto 0)); --等待時間計數
end jiliang;
architecture rt2 of jiliang is
signal w:integer range 0 to 59; --計時范圍0~59
begin
process(clk1)
begin
if(clk1'event and clk1='1')then
if start='0' then
w<=0;en1<='0';en0<='0';m1<="0000";
m0<="0000";k1<="0000";k0<="0000";
elsif stop='1' then --計時開始信號
if w=59 then
w<=0;
else w<=w+1;
end if;
if m0="1001" then
m0<="0000";
if m1="0101" then
m1<="0000";
else m1<=m1+1;
end if;
else m0<=m0+1;
end if;
if stop='1' then en0<='0';
if m1&m0>"00000001" then en1<='1'; --若等待時間大於2min則en1置1
else en1<='0';
end if;
end if;
elsif fin='1' then --里程計數開始
if k0="1001" then k0<="0000";
if k1="1001" then k1<="0000"; --計程范圍0~99
else k1<=k1+1;
end if;
else k0<=k0+1;
end if;
if stop='0' then
en1<='0';
if k1&k0>"00000001" then
en0<='1'; --若行使里程大於2km,則en0置1
else en0<='0';
end if;
end if;
end if;
end if;
end process;
end rt2;

3. 控制模塊
本模塊主要是通過計量模塊產生的兩個不同的輸入使能信號en0,en1,對每個分頻模塊輸出的14hz,13hz的脈沖進行選擇輸出的過程;本模塊實現了雙脈沖的二選一;最終目的為了計費模塊中對行駛過程中不同的時段進行計價。
模塊元件如下:

控制模塊框圖
控制模塊模擬波形為:

源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity kong is
port(en0,en1:in std_logic; --使能選擇信號
clk_in1:in std_logic; --14分頻輸入信號
clk_in2:in std_logic; --13分頻輸入信號
clk_out:out std_logic); --輸出信號
end kong;
architecture rt3 of kong is
begin
process(en0,en1)
begin
if en0='1' then --實現二選一功能
clk_out<=clk_in1;
elsif en1='1' then
clk_out<=clk_in2;
end if;
end process;
end rt3;

4.計費模塊
當計費信號start一直處於高電平即計費狀態時,本模塊根據控制模塊選擇出的信號從而對不同的單價時段進行計費。即行程在2km內,而且等待累計時間小於2min則為起步價5元;2km外以每公里1.4.元計費,等待累積時間超過2min則按每分鍾1.3元計費。c0,c1,c2,c3分別表示費用的顯示。
模塊元件為:

計費模塊框圖

計費模塊模擬波形為:

源程序如下:

Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity jifei is
port(clk2:in std_logic; --計費驅動信號
start:in std_logic; --計費開始信號
c0,c1,c2,c3:buffer std_logic_vector(3 downto 0));
end jifei;
architecture rt4 of jifei is
begin
process(clk2,start)
begin
if start='0'then c3<="0000";c2<="0000";c1<="0101";c0<="0000"; --起步價5元
elsif clk2'event and clk2='1'then
if c0="1001" then c0<="0000";
if c1="1001" then c1<="0000";
if c2="1001" then c2<="0000";
if c3="1001" then c3<="0000"; --計價范圍0~999.9
else c3<=c3+1;
end if;
else c2<=c2+1;
end if;
else c1<=c1+1;
end if;
else c0<=c0+1;
end if;
end if;
end process;
end rt4;

5.顯示模塊
顯示模塊完成計價,計時和計程數據顯示。計費數據送入顯示模塊進行解碼,最後送至以百元,十元,元,角為單位對應的數碼管上顯示。計時數據送入顯示模塊進行解碼,最後送至以分為單位對應的數碼管上顯示。計程數據送入顯示模塊進行解碼,最後送至以km為單位的數碼管上顯示。
模塊元件為:

顯示模塊框圖
源程序如下:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all; --定義庫包

entity xianshi is --定義實體
port(
clk_scan:in std_logic; --掃描時鍾信號埠設置
c3,c2,c1,c0:in std_logic_vector(3 downto 0); --總費用輸入埠
k0,k1:in std_logic_vector(3 downto 0); --里程輸入埠
m0,m1:in std_logic_vector(3 downto 0); --等待時間輸入埠
sel:out std_logic_vector(2 downto 0); --控制數碼管位選信號的掃描信號輸出埠
led:out std_logic_vector(6 downto 0); --數碼管的控制埠
led_dp:out std_logic --數碼管的小數點輸出埠
);
end xianshi;
architecture rt5 of xianshi is
signal an:std_logic_vector(6 downto 0); --數碼顯示管中間變數
signal shuju:std_logic_vector(3 downto 0); --選擇輸入端的中間變數
signal cnt:std_logic_vector(2 downto 0); --控制數碼管的中間變數
signal xiaodian:std_logic; --小數點的中間變數
begin
process(clk_scan) --開始進程
begin
if clk_scan'event and clk_scan='1' then
cnt<=cnt+1; --每有一個掃描信號上升沿實現加1掃描
end if;
end process; --結束進程

process(cnt) --開始進程(選擇掃描顯示數碼管)
begin
case cnt is --掃描時給每個數碼管賦值
when "000"=>shuju<=c0;
when "001"=>shuju<=c1;
when "010"=>shuju<=c2;
when "011"=>shuju<=c3;
when "100"=>shuju<=k0;
when "101"=>shuju<=k1;
when "110"=>shuju<=m0;
when "111"=>shuju<=m1;
when others=> null;
end case;
if (cnt="001" or cnt="110")
then xiaodian<='1'; --在里程和總費用的個位處顯示小數點
else xiaodian<='0';
end if;
end process; --結束進程

process(shuju) --開始進程(解碼顯示)
begin
case shuju is
when "0000"=>an<="0111111"; --0
when "0001"=>an<="0000110"; --1
when "0010"=>an<="1011011"; --2
when "0011"=>an<="1001111"; --3
when "0100"=>an<="1100110"; --4
when "0101"=>an<="1101101"; --5
when "0110"=>an<="1111101"; --6
when "0111"=>an<="0000111"; --7
when "1000"=>an<="1111111"; --8
when "1001"=>an<="1101111"; --9
when others=>null;
end case;
end process;
sel<=cnt;
led<=an;
led_dp<=xiaodian;
end rt5;
二、課程設計工作記錄:
包括:設計步驟與時間安排、調試步驟與時間安排、課題完成結果說明
2.課題完成結果說明:
此計費器能實現起步價是5元;實現實驗要求的1公里計費一次單價,行駛公里大於2km時每公里按1.4元計費並能顯示里程和總共的費用。當行駛了6公里,等待了4分鍾時,費用顯示為15.8元。與計算公式總費用=起步費用+(里程-2公里)*里程單價+等候時間*等後單價;即15.8=5+(6-2)*1.4+4*1.3。實驗結果與理論結果完全一致,實驗設計成功。

⑶ 計程車計價器安裝詳細過程

計程車計價器是一種用於測量出租持續時間及根據里程感測器傳送的信號測量里程,並以測得的計時時間及里程為依據,計算並顯示乘客應付費用的計量器具。

1、安裝前准備

(1)安裝計價器前必須檢查汽車蓄電池、電氣設備、各種燈光是否完好,檢查里程錶是否有指示和抖動,檢查高壓點電線是否完好,條件具備才能安裝。

(2)根據被裝出租汽車的收費標准,調整計價器的收費模式,計價器由當地計量部門輸入程序經檢測合格後方可使用。

(3)根據被裝出租汽車的車型,調整K值參數。

(4)用汽車蓄電池檢查計價器本機工作是否正常,檢查感測器是否靈活。檢查空車牌翻動是否靈活,翻倒空車牌燈不亮,立起則亮。

2、安裝

(1)安裝感測器

車型不同,感測器的介面也就不同,不可亂用,要根據說明書安裝。總的原則是:感測器安裝在里程錶和變速箱之間,最好不要斷開軟軸將感測器直接裝在變速箱出口。軟軸不能有死彎或呈S型,其弧度半徑要大於20cm,感測器應盡量避開高壓點YC系統、高溫區和易進水的位置。

(3)計程車里程計量裝置的設計擴展閱讀:

計價器使用注意事項:

1、操作時動作輕緩、到位即可,不要用太大的力量,以免損壞計價器,當發現手感不對時,應及時進行檢修,防止擴大故障范圍。

2、注意保持清潔和防水,可延長計價器的使用壽命。汽車在各種環境中行駛,塵土、水、潮氣不能避免,要經常擦拭。

3、注意防止暴曬。一般計價器的儲存溫度為-40℃~+70℃。很多計價器的外殼都是塑料製品,溫度過高會導致殼體變形。在夏季,要盡量將車停在陰涼處。

4、憋了等常見故障。

機械專業畢業設計題目「」

機械專業畢業設計題目「匯總」

以下是關於機械設計製造及其自動機專業畢業設計題目大全。希望能夠幫到大家!

基於數控專業畢業設計

1.C616型普通車床改為經濟型數控機床.

2.CA6140型車床的經濟型數控改造設計(橫向)

3.CA6140經濟型數控車床縱向進給系統設計及進給系統的潤滑設計

3.c6150普通機床的自動化改造

4.C620普通車床進行數控改造

5.CA6150車床橫向進給改造的設計

6.CA6150車床主軸箱設計

7.CJK6256B簡易數控車床的的設計

8.XKA5032AC數控立式升降台銑床自動換刀裝置(刀庫式)設計

9.數控銑高級工零件工藝設計及程序編制

10.共軛凸輪的設計製造(CADCAM)及工藝

11.行星架的數控加工與選用

12.空壓機吸氣閥蓋頭加工工藝編程及夾具

13.300X400數控激光切割機設計

14.數控機床位置精度的檢測及補償

15.數控機床位置精度及標准

16.數控銑床工作台模擬實驗系統的開發

(零件的加工工藝及夾具設計課題17-42)

17.杠桿工藝和工裝設計

18.活塞的機械加工工藝,典型夾具及其CAD設計

19.過橋齒輪軸機械加工工藝規程

20.FA311A一三排羅拉支架加工工藝設計。

21.CA6140車床後托架加工工藝及夾具設計31001-後托架

22.WHX112減速機殼加工工藝及夾具設計

23.WH212減速機殼體加工工藝及夾具設計

24.CA6140拔叉零件的加工工藝及夾具的設計

25.拖拉機拔叉零件的加工工藝及夾具的設計

26.撥叉80-08的加工工藝及夾具設計

27.撥叉(12-07-05)加工工藝及夾具設計

28.CA6140撥叉81002-81005

29.變速器換檔叉的工藝過程及裝備設計

30.差速器殼體工藝及鏜工裝設計

31.T350攪拌機工藝工裝設計

32.29323聯軸器的加工

33.後鋼板彈簧吊耳加工工藝及夾具設計

34.連桿孔加工工藝與夾具設計

35.連桿體的機械加工工藝規程的編制

36.錫林右軸承座組件工藝及夾具設計

37.內齒圈成組數控加工工藝及其鑽床夾具設計

38.基於Mastercam的收音機上殼的模具設計與加工

39.溜板工藝極其掛架式雙引導鏜床夾具

40.3L-108空氣壓縮機曲軸零件的機械加工工藝及夾具設計

41.掛輪架軸工藝過程及工裝設計

42.道奇T110總泵缸加工

機械機電設計類及PLC控制類課題43-120

43.A272F系列高速並條機車頭箱設計

44.A272F系列高速並條機車尾箱設計。

45.一級圓柱齒輪減速器

46.二級圓柱齒輪減速器 二級直齒圓錐齒輪減速器

47.同軸式二級圓柱齒輪減速器的設計

48.環面蝸輪蝸桿減速器

49.自動洗衣機行星齒輪減速器的設計

50.帶式輸送機傳動裝置設計

51.軋鋼機減速器的設計

52.Z32K型搖臂鑽床變速箱的改進設計

53.無軸承電機的結構設計

54.AWC機架現場擴孔機設計

55.普通鑽床改造為多軸鑽床

56.鑽床的自動化改造及進給系統設計

57.銑床夾具設計

58.粗鏜活塞銷孔專用機床及夾具設計

59.車床改裝成車削平面體的專用機床設計。

60.去毛刺專用機床電氣系統控制設計(plc)

61.軸向柱塞泵設計

62.四軸頭多工位同步鑽床設計

63.鑽孔組合機床設計

64.攻絲組合機床設計及夾具設計

65.全液壓升降機設計

66.萬能外圓磨床液壓傳動系統設計

67.雙鉸接剪叉式液壓升降台的設計

68.半自動液壓專用銑床液壓系統的設計

69.掩護式液壓支架

70.刮板式流量計設計。

71.封閉式液壓阻尼器設計。

72.YZ90機油冷卻器氣密性能自動測試台的設計。

73.液壓上料機械手

74.液壓卷花機的設計

75.多層次金屬密封蝶閥

76.茶樹重修剪機的開發研究

77.燃油噴射裝置

78.葯品包裝機

79.旋轉門的設計

80.鋼筋彎曲機設計及其運動過程虛擬

81.新KS型單級單吸離心泵的設計

82.管套壓裝專機設計

83.生產線上運輸升降機的自動化設計(PLC)

84.多用途氣動機器人結構設計

85.機油冷卻器自動裝備線壓緊工位裝備設計

86.攪拌器的設計

87.精密播種機

88.馬鈴薯收獲機

89.馬鈴薯播種機

90.插秧機系統設計

91.ZL15型輪式裝載機

92.十二孔鑽削組合機床

93.運載機器人的設計製作

94.凸輪軸加工自動線機械手

95.弧齒圓錐齒輪結構設計

96.給噴油泵下體零件設計組合機床

97.中直縫焊接機設計

98.步進梁式再加熱爐設計。

99.立軸的工藝系統設計。

100.法蘭盤加工的回轉工作台設計。

101.SFY-B-2錘片粉碎機設計。

102.HFJ6351D型汽車工具箱

103.CG2-150型仿型切割機

104.礦車輪對拆卸機設計

105.滾筒採煤機截割部的設計

106.搬運機械手控制系統的設計

107.多功能傳動試驗台的設計與CAD

108.單片機控制的兩坐標工作台的結構和插補程序設計

109.鋼珠式減振器在銑床模型機上的減振實驗研究

110.卧式銑床主軸懸臂梁系統振動減振問題的模擬實驗研究

111.FXS80雙出風口籠形轉子選粉機

112.Φ1200熟料圓錐式破碎機

113.內循環式烘乾機總體及卸料裝置設計

114.新型組合式選粉機總體及分級部分設計

115.螺旋管狀麵筋機總體及坯片導出裝置設計

116.五軸激光三維化測量系統設計

117.諧波齒輪機構的設計

118.高剪切均質機

119.高壓均質機傳動端的設計及運動模擬

120.WE67K-5004000液壓板料折彎機

基於模具設計畢業設計

121.PP(聚丙烯共聚物)直彎管的設計。

122.離合器板精沖成形模具設計。

123.汽車輸油管的模具設計。

124.台燈燈座注塑模的`設計與製造。

125.年產60噸均苯四酸二酐裝置設計(精製部分)

126.線圈架塑料模設計

127.塑料拉手注塑模具設計(三維造型,P/E)

128.心型台燈塑料注塑模具畢業設計

129.直崗拉卡水電站電氣一次及發電機繼電保護設計

130.注塑模具畢業設計(鬧鍾後蓋的設計)

131.旋紐模具的設計

132.油封骨架沖壓模具

133.訂書機外殼注射模設計(三維造型,P/E)

134.DVD遙控器前蓋塑料模設計(三維造型,P/E)

135.加水蓋注射模設計

136.JLY3809機立窯(總體及傳動部件)設計

137.Q3110滾筒式拋丸清理機的設計(總裝、滾筒及傳動機構設計)

138.SF500100打散分級機總體及機架設計

139.YQP36預加水盤式成球機設計

140.柴油機齒輪室蓋鑽鏜專機總體及主軸箱設計

141.X700渦旋式選粉機(轉子部件)設計

142.X700渦旋式選粉機(殼體及傳動部件)設計

143.基於ProE二次開發的端蓋參數化模型的實現

144.基於ProE的彈簧模型庫二次開發

145.基於ProE的齒輪模型庫二次開發

(模具設計類課題146-171)

146.微電機轉子沖片(沖壓模具)

147.大油壺蓋注塑模具設計

148.低壓包注射模具設計

149.調焦導向盤側向沖孔模設計

150.開關座注射模具設計

151.接線端子板沖孔、落料、壓彎復合模設計

152.尼龍66座模具設計

153.前蓋注塑模設計

154.繞線架注塑模設計

155.刷座注塑模設計

156.特殊結構注塑模具設計

157.桶蓋注射模設計

158.微電機定子硅鋼片落料、沖槽復合模設計

159.下端蓋切口彎曲模設計

160.壓簧級進自動模設計

161.支架沖孔、壓彎、切斷連續模設計

162.制動器軸端外殼落料拉深復合模設計

163.軸封端蓋落料,沖孔,拉深,翻邊復合模設計

164.模具-Φ146.6葯瓶注塑模設計

165.模具-冰箱調溫按鈕塑模設計

166.模具-電機炭刷架冷沖壓模具設計

167.噴嘴襯卷模具

168.手提式塑料籃注塑模具設計

169.錄音機放音鍵沖壓模及排樣優化

170.塑料水杯模具的研製

171.洗發水瓶蓋注塑模具設計

機械設計類畢業設計

172.T6113鏜床電氣控制系統的設計

173.機電一體化-連桿平行度測量儀

174.設計-棒料切割機

175.設計-外圓磨床設計

176.長途客車乘客門及艙門設計

177.乘客電梯的PLC控制

178.計程車計價器系統設計

179.電動自行車調速系統的設計

180.金屬粉末成型液壓機PLC設計

181.JX047四層樓電梯自動控制系統的設計

182.Z30130X31型鑽床控制系統的PLC改造

183.接機平台、苗木輸送系統的設計及總裝圖

184.康復機器人的系統設計

185.套類零件自動上下料機構設計

186.1G-100型水旱兩用旋耕機設計

187.設計-工程鑽機的設計

188.CA6136車床手柄座工藝及夾具設計

189.空氣壓縮機V帶校核和雜訊處理設計

190.CA6140車床主軸箱的設計

191.YDY1000螺旋壓濾機原理方案及結構設計

192.咖啡粉枕式包裝機總體設計及計量裝置設計

193.空心鉚釘機總體及送料系統設計

194.氣缸體雙工位專用鑽床總體及左主軸箱設計

195.CA6140撥叉831004

196.CA6140撥叉831005

197.CA6140車床撥叉831003

198.拔叉84009夾具設計與工序設計

199.撥叉831002畢業設計

200.螺紋套管密封試壓裝置設計

201.X53K立式數控銑床縱向進給改造設計

202.C6136型經濟型數控改造(橫向)

203.柴油機箱體組合機床設計

204.CA6140普通車床的經濟型數控改造設計

205.數控車床電動刀架

206.雙面銑床組合機床

207.組合機床設計

模具設計類畢業設計

208.變壓器外殼注射模設計

209.電閥罩殼落料拉深模設計

210.電話機按紐模設計

211.電視機調幅盒塑料注射模設計

212.電源盒注射模設計

213.電子端蓋注射模設計

214.墊圈落料、沖孔復合模設計

215.ABS塑模設計

216.放大鏡框塑模設計

217.蓋子注射模設計

218.襯套注射模設計

219.玻璃升降器外殼的設計

220.四驅車車輪注塑模設計

221.電閥罩殼落料拉深模設計

222.密封內蓋塑膠模具設計

223.瓶蓋注射模設計

224.瓶塞注射模設計

225.鎖殼沖裁模具設計

226.鎖殼拉伸復合模設計

227.外蓋塑模設計

228.萬向腳輪邊蓋注射模設計

229.洗面奶瓶蓋注射模設計

230.照相機支架塑模設計

231.止動片沖模設計

232.貯油蓋注射模設計

;

⑸ 計程車計價器發票可以多打錢嗎

租車的計價器不可以多打錢,必須按正規程序走,否則是屬於欺欺騙行為。計程表是計里程的,多少公里打多少表,你給多少錢。
計程車計價器是一種計量器具,用於測量出租持續時間及依據里程感測器傳送的信號測量里程,並以測得的計時時間及里程為依據,計算並顯示乘客計程車應付的費用。
機打發票可詳細記載車號、經營企業、乘車時間、乘車歷程、乘車費用等詳細信息。也就是說,今後,乘客乘坐計程車時,計價器一旦啟動,就開始計費,到達目的地後,「空車」燈一亮,機打票就會列印出來,不管乘客有沒有索要發票,機器都會自動列印發票,發票將顯示出車牌號、上車時間、里程、金額等信息,能有效地監督司機駕駛路線和及時找回乘客丟失物品。這種計價器還可以根據客人上車情況,分批計價,相較於舊版計價器增加了合乘拼車打表功能。現在計程車計價器出具發票主要的作用有以下三點:一是能有效維護乘客的合法權益,和避免駕駛員不主動出示發票的情況;二是能有效減少乘客投訴或者是乘客能准確投訴;三是乘客通過計程車出具的發票能夠有效的查找遺失物品。
對計程車計價器的要求包括:
1.計價器應有金屬銘牌,銘牌上應註明:製造廠名稱、產品名稱、產品型號、出廠編號、製造日期、製造計量器具許可證標志及編號。
1. 計價器應堅固耐用,其殼體表面不應有凹痕、劃傷、裂縫、變形等現象。表面塗鍍層不應起泡、龜裂和脫落。金屬部件不應有銹蝕及其他機械損傷。殼體應有鉛封耳(孔)用於加裝鉛封。開關、按鍵操作應靈活可靠。說明功能的文字元號和標志應清晰端正。
2. 感測器應直接將車輛變速器的轉動信號有效地轉換為電信號。計價器安裝到車輛上必須使用與計價器匹配的獨立感測器,不能直接使用車輛提供的電信號。
3. 空車牌結構應牢固,翻動靈活,接觸可靠。
4. 對於禁止接觸和禁止調整的器件或控制器應採取保護性措施,影響計價器計量性能的調整開關、控制開關或按鈕均應置於機殼內。且在前面板或右前側部位的外殼開有調整窗,井加鉛封。在不移動計價器的情況下,面對計價器正面板即可看到鉛封。在不打開鉛封的情況下不能調整計價器的內設參數。使用一個鉛封應能同時封住殼體及調整窗。
5. 計價器的機外鍵不得多於三個。
綜上所述:計程車計價器發票是不能多打錢的,只能依據系統內的計算方式進行發票金額列印。多打發票屬於違法行為,嚴重的可能會設計達到犯罪。

⑹ 吉林省出租汽車里程計價器管理暫行辦法

第一章總則第一條為了加強對出租汽車里程計價器(以下簡稱計價器)的監督管理,維護社會經濟秩序,保障國家、集體和乘客的利益,根據《中華人民共和國計量法》、《中華人民共和國強制檢定的工作計量器具檢定管理辦法》以及《吉林省計量管理條例》的有關規定,制定本辦法。第二條本辦法適用於在我省境內從事小型汽車出租業務和計程車計價器安裝、檢定、修理活動的單位和個人。第三條縣級以上計量行政部門對計價器統一實施監督管理。
各地出租汽車主管部門對所管轄的出租汽車使用的計價器實行監督管理。第二章計價器的安裝第四條小型客運、小型客貨混運出租汽車必須安裝計價器。對未安裝計價器的,出租汽車管理部門不予辦理《客運許可證》。交通管理部門不予年檢。第五條安裝計價器必須符合下列規定:
一、計價器必須安裝在車內明顯位置,並貼有物價部門批準的收費標價;
二、帶有列印機的計價器,其列印機應能正常工作。第三章計價器的檢定第六條計價器安裝後,出租汽車經營者必須向當地計量行政部門備案,並與由當地計量行政部門確定的計量檢定機構簽訂強制檢定執行書。第七條計價器必須由計量檢定機構檢定合格,加檢定鉛封印並發給檢定證書後,方可使用。第八條計價器必須按確定的檢定周期進行強制檢定。對未進行周期檢定的。交通管理部門不予年檢。
計價器的檢定周期由計量檢定機構依據計價器檢定規程和實際使用情況確定。
計量檢定機構與經營出租汽車出租業務的單位和個人進行計價器周期檢定時,必須遵守強制檢定執行書的規定。第九條計量檢定機構檢定計價器,應按省物價部門會同省計量行政部門制定的收費標准收取檢定費,不得擅自提高收費標准。第四章計價器的使用、修理第十條使用計價器時應正確執行操作規程,不得破壞其准確度或出具假數據欺騙用戶。第十一條超過檢定周期或經檢定不合格的計價器不準使用。第十二條計價器在檢定證書規定的有效期內發生故障,影響准確計價的,應及時修理並經檢定合格後,方准使用。第十三條出租汽車經營者更換計價器或為出租汽車更換不同規格、型號的汽車驅動輪胎時,必須按規定重新檢定計價器,檢定合格後方准使用。第十四條出租汽車司機行車應攜帶計價器檢定證書。任何單位和個人不得塗改檢定證書,破壞檢定鉛封印,嚴禁私自拆卸、裝配計價器。第十五條因計價器准確度引起的糾紛,可申請當地計量行政部門調解或仲裁檢定。第五章處罰第十六條對違反本辦法第四條規定未安裝計價器的,給予批評教育,責令其停止營運和按規定安裝計價器;教育不改,繼續營運的,處以一千元罰款,並由出租汽車主管部門吊銷其《客運許可證》。第十七條對違反本辦法第十條規定,破壞計價器准確度或出具假數據欺騙用戶,多收取費用的,責令其返還多收的費用,並處以其非法所得二十倍的罰款,但罰款最高額不得超過二千元。第十八條對計價器未按規定進行檢定而投入使用或經檢定不合格繼續使用的,責令其停止營運,並處以三百元的罰款。第十九條對違反本辦法第十二條規定的,責令其停止營運,立即進行修理並檢定,並處以三百元的罰款。第二十條對違反本辦法第十三條規定的,責令其重新檢定,並處以三百元的罰款。第二十一條對違反本辦法第十四條規定,塗改檢定證書的,處以二十元的罰款;對破壞鉛封、私自拆卸、裝配計價器的,責令其重新檢定,並處以五百元的罰款。第二十二條本辦法規定的行政處罰由縣級以上計量行政部門、當地出租汽車主管部門執行。第二十三條對當事人拒不執行第十七條、第十八條、第十九條、第二十條、第二十一條規定的行政處罰的,由當地出租汽車主管部門吊銷其《客運許可證》。第二十四條本辦法第十七條規定的行政處罰,也可以由工商行政管理部門、物價管理部門執行。第二十五條對拒絕、阻礙國家工作人員依據本辦法執行公務的,由公安機關按照《中華人民共和國治安管理處罰條例》的規定處理;構成犯罪的,由司法機關依法追究刑事責任。第六章附則第二十六條本辦法與國家規定有抵觸的,按國家規定執行。第二十七條本辦法自發布之日起施行。

⑺ 計程車計價器07是什麼意思

意思是計價器故障了。
首先檢查感測器是否與計程車的變速輸出齒的短軸安裝牢固,防止打滑。然後檢查變速箱輸出齒自身傳動是否正常。最後檢查感測器是否良好。在確信感測器的接線正確時,可慢慢轉動感測器的軸芯,同時用電壓表檢查測信號端的電壓有無變化,變化的幅度是否較大,若有變化且幅度較大,則感測器是好的,若無變化或變化較小,則感測器是壞的。感測器損壞的可能原因是霍爾元件損壞。
計程車計價器是一種計量器具,用於測量出租持續時間及依據里程感測器傳送的信號測量里程,並以測得的計時時間及里程為依據,計算並顯示乘客計程車應付的費用。

⑻ 計程車計價器怎麼固定在車上

用膠粘或用自攻螺釘固定
計程車計價器是一種計量器具,用於測量出租持續時間及依據里程感測器傳送的信號測量里程,並以測得的計時時間及里程為依據,計算並顯示乘客計程車應付的費用。
計程車,供人臨時僱傭的汽車,多按里程或時間收費。台灣稱作「計程車」,湖南、廣東及港澳地區稱為「的士」,新加坡及馬來西亞一帶則稱為「德士」,上海稱作「差頭(cā dǒu)」計程車英文「taxi」為「taximeter」之略稱,即為「計程表」或 「里程計」。

⑼ 計程車計價器缺紙不工作怎麼辦

只是列印不了票據了,並不會損壞計價器,即時更換票據紙張即可。


計程車計價器是一種計量器具,用於測量出租持續時間及依據里程感測器傳送的信號測量里程,並以測得的計時時間及里程為依據,計算並顯示乘客計程車應付的費用。

顯示器,列印機,這五個零部件,再通過線路連接。也可以自己做車速感測器,採用霍爾效應做,車速信號為脈沖信號,採集脈沖信號的頻率就可以得到車速,可以用到晶元的定時器捕獲。如果車速大於一定的值,採用公里數計算價格,如果車速小於這個值,就可以用時間計算。

計算公里數:應用晶元公里數。計算時間:用到晶元定時器確定時間,或者用RTC中斷採集到更精確的時間,以及價格,可以用晶元驅動數碼管或者用晶元驅動液晶空車牌(也可以自己做車速感測器,採用霍爾效應做)來提供計價器計價的信號。車速信號為脈沖信號,採集脈沖信號的頻率就可以得到車速,可以用到晶元的定時器捕獲。

⑽ 為什麼計程車計時器的錢不是最終價格

因為當你到達目的地時才會有最終價格。
計程車計價器是一種計量器具,用於測量出租持續時間及依據里程感測器傳送的信號測量里程,並以測得的計時時間及里程為依據,計算並顯示乘客計程車應付的費用。
如果車速大於一定的值,採用公里數計算價格,如果車速小於這個值,就可以用時間計算。計算公里數應用晶元計算其公里數,用到晶元定時器確定時間,或者用RTC中斷採集到更精確的時間,以及價格,可以用晶元驅動數碼管或者用晶元驅動液晶空車牌(也可以自己做車速感測器,採用霍爾效應做)來提供計價器計價的信號。

閱讀全文

與計程車里程計量裝置的設計相關的資料

熱點內容
為什麼突然投屏找不到設備 瀏覽:406
直線軸承座uu代表什麼 瀏覽:251
消防器材計入管理費用怎麼算 瀏覽:124
肋夾玻璃幕牆配套五金件 瀏覽:356
錄音有什麼攜帶型設備 瀏覽:764
機床皮帶跑偏怎麼調 瀏覽:986
上海新建高檔五金電器批發市場 瀏覽:195
戰斧機械鍵盤怎麼樣 瀏覽:863
天水商用廚房設備哪裡有 瀏覽:666
samp工具箱手機下載 瀏覽:775
熒光筆怎麼開安全閥門 瀏覽:114
數控車床後軸承怎麼緊 瀏覽:218
設備日常檢查注意哪些 瀏覽:646
煤礦井下設備三證一標志是什麼 瀏覽:111
鑄造企業土地使用稅怎麼計算 瀏覽:780
管道蝶形閥門 瀏覽:703
家用燃氣閥門怎樣安裝 瀏覽:906
閥門銘牌的壓力是什麼壓力 瀏覽:406
軸承代號LF代表什麼意思 瀏覽:822
手動控制噴泉用什麼閥門 瀏覽:148