1. 高分,关于交通信号灯控制器设计
已发于邮箱,不知你是否满意 。我们也刚做过这实验,要想与人的不同,只能自己修改修改
2. 交通信号灯设计方案 有吗
一 设计过程
(一)设计题目和方案确定
1.设计题目:交通灯信号控制器的设计
2.设计要求:1.设交通灯信号控制器用于主干道与支干道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于"主干道绿灯,支道红灯"状态,只有在支道有车辆要穿行主干道时,才将交通灯切向"主干道红灯,支道绿灯",一旦支道无车辆通过路口,交通灯又回到"主干道绿灯,支道红灯"状态。
2.主干道和支干道自动循环。主干道和支道每次通行的时间为30s,而在两个状态交换过程出现的"主黄,支红"和"主红,支黄"状态,持续时间都为4s。
3. 手动设置主干道和支道每次通行的时间分别为为30s、40s、50s;
3 设计思路:
(1)传感器状态为主干路传感器支干路传感器,当支路无车时,即传感器开关状态为00 01状态时,总保持主干道绿灯支干道红灯状态;
(2)当主路总无车而支路总有车时,即传感器开关为01状态时 ,总保持主红支绿;
(3)当主干路支干路都有车时,即传感器为11状态时,主路支路轮流切换通行。
当主路绿灯30s切黄灯4s后,主路变红灯,支路变绿灯;当支路绿灯30s切黄灯4s后,主路变绿灯,支路变红灯。此两种状态为“主黄,支红”,“主红,支黄”两种状态。
(二)设计项目输入编译和仿真
1 设计交通控制器的VHDL文本程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all;
entity jtdkz is
port(clk,sens_m,sens_f:in std_logic;
m,l,n:in std_logic;
rm,ym,gm,rf,yf,gf:out std_logic);
end jtdkz;
architecture arc of jtdkz is
type state_type is (a,b,c,d);
signal state:state_type;
signal k:std_logic_vector(2 downto 0);
begin
k<=m&l&n;
cnt:process(clk,state)
variable g,s:integer range 0 to 49;
variable nclr,en:bit;
begin
if k<="001" then g:=29;
elsif k<="010" then g:=39;
elsif k<="100" then g:=49;
else g:=0;
end if;
if(clk'event and clk='1') then
if nclr='0' then s:=0;
elsif en='0' then s:=s;
else s:=s+1;
end if;
case state is
when a=>rm<='0';ym<='0';gm<='1';
rf<='1';yf<='0';gf<='0';
if(sens_f and sens_m)='1' then
if s=g then
state<=b;nclr:='0';en:='0';
else
state<=a;nclr:='1';en:='1';
end if;
elsif(sens_f and (not sens_m))='1' then
state<=b;nclr:='0';en:='0';
else
state<=a;nclr:='1';en:='1';
end if;
when b=>rm<='0';ym<='1';gm<='0';
rf<='1';yf<='0';gf<='0';
if s=3 then
state<=c;nclr:='0';en:='0';
else
state<=b;nclr:='1';en:='1';
end if;
when c=>rm<='1';ym<='0';gm<='0';
rf<='0';yf<='0';gf<='1';
if(sens_f and sens_m)='1' then
if s=g then
state<=d;nclr:='0';en:='0';
else
state<=c;nclr:='1';en:='1';
end if;
elsif sens_f='0' then
state<=d;nclr:='0';en:='0';
else
state<=c;nclr:='1';en:='1';
end if;
when d=>rm<='1';ym<='0';gm<='0';
rf<='0';yf<='1';gf<='0';
if s=3 then
state<=a;nclr:='0';en:='1';
else
state<=d;nclr:='1';en:='1';
end if;
end case;
end if;
end process cnt;
end arc;
2 程序说明:
rm ym gm分别表示主干道红黄绿灯,rf yf gf分别表示支道红黄绿灯;
sens_m sens_f分别表示主干道支干道传感器。有车时为1,无车时为0。
m l n表示手动控制开关,当001时表示30s控制时间,当010时表示40s控制时间,
当100时表示50s控制时间。
3 将模块进行编译,具体如下:
(1)器件的选择:选择FLEX10K10LC84-3器件。
(2)锁定引脚。
(3)编译。
4.新建一个gdf文件,形成顶层文件,如图所示:
4功能仿真:创建仿真通道文件;
编辑仿真通道文件;
设计项目的仿真。
新建一个scf文件,生成仿真图,如图所示:
(三) 器件编程下载与硬件验证
1. 器件编译:
将ByteBlaster电缆的一端与计算机的并行口相连
选择菜单命令MAX+PLUSⅡ/Programmer,打开编译窗口。如下图所示∶
选择菜单命令Options/Hardware Setup,在Hardware Type栏选择ByteBlaster(MV);在
栏选择使用并行口(LPT1);选择OK按钮,回到器件编译窗口。如下图所示∶Parallel Port中
3. 交通灯控制电路设计
主、支干道上红、黄、绿信号灯的状态主要取决于状态控制器的输出状态。它们之间的关系见真值表,对于信号灯的状态,1表示灯亮,0表示灯灭。
表8-1
信号灯状态真值表
状态控制器输出
主干道信号灯
支干道信号灯
q2
q1
r
y
g
r
y
g
0
0
0
0
1
1
0
0
0
1
0
1
0
1
0
0
1
0
1
0
0
0
0
1
1
1
1
0
0
0
1
0
选择发光二极管模拟交通灯,由于门电路带灌电流的能力强,要求门电路输出低电平时,点亮相应的发光二极管。
根据设计任务的要求,当黄灯亮时,红灯按1hz的频率闪烁。从信号灯信号状态真值表中可以看出,黄灯亮时,q1必为高电平;而红灯亮信号无关。可利用q1信号去控制一个三态门电路74ls245(或模拟开关),当q1为高水平时(q1非为低电平,控制三态门的en非),将秒脉冲信号引到驱动红灯的与非门的输入端,使红灯在黄灯亮期间闪烁;反之将其隔离,红灯信号不受黄灯信号的影响。
4. 十字路口交通信号灯控制电路的设计
曾经研究过十字信号灯的控制系统;完全就是一个时序器;你所说的感应器并没有见;除非在路面的停车处,设置遮光感应器,触发系统定时工作。
5. 交通信号灯控制系统设计
说清除点
6. 交通灯控制程序设计
要求:
1、设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。时间可设置修改。
2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;
3、黄灯亮时,要求每秒闪亮一次。
4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法)。
5、同步设置人行横道红、绿灯指示。
7. 交通信号灯控制器设计
http://www.kj008.com/web2/mj09b2160.html
单片机课程设计 交通信号灯控制器设计
资料类别 论文图书
所属课程 单片机
适用年级 大学
金 币 6 (如为0则表示免费下载)
文件格式
word
文件大小 4257K
上传时间 2007-4-25 22:51:00
预览文件 无(只能预览文件中的部分内容)
下载次数 41
内容简介:
单片机课程设计:交通信号灯控制器设计
一、电路功能
该控制器能实现城市“十字”路口正常情况下以及特殊情况和紧急情况下交通信号灯的模拟控制。
1、在十字路口东西南北各设置红、黄、绿三种信号灯,如图1所示。正常情况下,东西、南北方向轮流放行。当东西方向(A线)放行、南北方向(B线)禁行时,东西方向(A线)绿灯亮25秒,然后黄灯亮5秒,南北方向(B线)红灯亮30秒;当南北方向(B线)放行、东西方向(A线)禁行时,南北方向(B线)绿灯亮25秒,然后黄灯亮5秒,东西方向(A线)红灯亮30秒。如此循环,实现交通灯定时控制。
.......
8. 数字交通信号灯控制系统设计
供你参考。倒计时及显示还要另加。现在一般用plc控制,顶多半小时就完成编程,十分简单。
9. 交通信号灯控制器设计(里面加了有救护车出现的情况)
这个得有PLC软件呀,用这个软件很容易座的,只要学过的都会做,这是最简单的设计。。