⑴ 的士打表怎么算的
收费计算方式基本是按照“里程+时间”的模式。
以北京出租车为例:
1.白天(早5:00--晚22:59)。
起步价10元。
超出三公里至十五公里以内的公里数每公里按2元计费。
超出十五公里以外的公里数按3元计费。
2.夜间(晚23:00-早4:59)。
起步价11元。(每公里另加收20%的夜间费用)
超出三公里至十五公里以内的公里数每公里按2元计费。(每公里另加收20%的夜间费用)
超出十五公里以外的公里数按3元计费。(每公里另加收20%的夜间费用)
3.等候费。
计价器按照等候时间累计满5分钟加收1公里运价。
不足5分钟的不计费。
由于承运人原因如车辆抛锚、肇事等原因造成的停车等候,不收取等候费。
出租车计价器,如果车速大于一定的值,采用公里数计算价格,如果车速小于这个值,就可以用时间计算。
计算公里数:应用芯片计算其公里数。
计算时间:用到芯片定时器确定时间,或者用RTC中断采集到更精确的时间,以及价格,可以用芯片驱动数码管或者用芯片驱动液晶空车牌来提供计价器计价的信号。车速信号为脉冲信号,采集脉冲信号的频率就可以得到车速,可以用到芯片的定时器捕获。
出租车计价器-网络
⑵ EDA课程设计,用VHDL编程做出租车计费器
课程设计内容与要求
1,用开关按键表示脉冲,每个脉冲代表100米,10个脉冲1公里,每公里1.4元,能同步显示里程和费用;
2,低于2公里5元计费,高于2公里总费用=起步费用+(里程-2公里)*里程单价+
等候时间*等后单价;
3,等候时间大于2分钟,按每分钟1.3元计费;
4,可以设定起步价和里程单价。
一、设计原理与技术方法:
包括:电路工作原理分析与原理图、元器件选择与参数计算、电路调试方法与结果说明;
软件设计说明书与流程图、软件源程序代码、软件调试方法与运行结果说明。
根据设计要求,系统的输入信号clk,计价开始信号start,等待信号stop,里程脉冲信号fin。系统的输出信号有:总费用数C0—c3,行驶距离k0—k1,等待时间m0—m1等。系统有两个脉冲输入信号clk_750k,fin,其中clk_750k将根据设计要求分频成14hz,15hz和1hz分别作为公里计费和超时计费的脉冲。两个控制输入开关start,stop;控制过程为:start作为计费开始的开关,当start为高电平时,系统开始根据输入的情况计费。当有乘客上车并开始行驶时,fin脉冲到来,进行行驶计费,此时的stop需要置为0;如需停车等待,就把stop变为高电平,
并去除fin输入脉冲,进行等待计费;当乘客下车且不等待时,直接将start置为0,系统停止工作;价格开始归为起步价5.0元。
整个设计由分频模块,计量模块,计费模块,控制模块和显示模块五个部分组成。
其中计量模块是整个系统实现里程计数和时间计数的重要部分;控制模块是实现不同计费方式的选择部分,根据所设计的使能端选择是根据里程计费还是根据等待时间计费,同时设计通过分频模块产生不同频率的脉冲信号来实现系统的计费。计量模块采用1hz的驱动信号,计费模块采用14hz,13hz的驱动信号;计量模块每计数一次,计量模块就实现14次或者13次计数,即为实现计时的1.3元/min,计程时的1.4元/km的收费。组成框图如下所示:
1.百进制模块:
实现百米脉冲的驱动信号,元件框图如图3所示:
图3 百进制模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity jin is
port(start,clk2: in std_logic; --秒脉冲
a: out std_logic_vector(3 downto 0));
end jin;
architecture rt1 of jin is
signal count_1:std_logic_vector(3 downto 0);
begin
a<=count_1;
process(start,clk2)
begin
if(start='0')then
count_1<="0000";
elsif(clk2'event and clk2='1')then
if(count_1="0111")then
count_1<="0000";
else
count_1<=count_1+'1';
end if;
end if;
end process;
end rt1
2.计费模块
; 实现里程和等候时间的计费并输出到显示,元件框图4如下:
图4 计费模块框图
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity jifei is
port(clk2:in std_logic; --计费驱动信号
start:in std_logic; --计费开始信号
c0,c1,c2,c3:buffer std_logic_vector(3 downto 0));
end jifei;
architecture rt1 of jifei is
begin
process(clk2,start)
begin
if start='0'then c3<="0000";c2<="0000";c1<="0101";c0<="0000"; --起步价5元
elsif clk2'event and clk2='1'then
if c0="1001" then c0<="0000";
if c1="1001" then c1<="0000";
if c2="1001" then c2<="0000";
if c3="1001" then c3<="0000";
else c3<=c3+1;
end if;
else c2<=c2+1;
end if;
else c1<=c1+1;
end if;
else c0<=c0+1;
end if;
end if;
end process;
end rt1;
3.公里模块
实现历程的计数和输出计费脉冲,元件框图5如下:
图5 公里模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity gongli is
port(clk1,start: in std_logic; --百米脉冲
k1,k2,k3,k4: out std_logic_vector(3 downto 0); --里程显示
temp2 : out std_logic);
end gongli;
architecture rt1 of gongli is
signal count_1: std_logic_vector(3 downto 0);
signal count_2: std_logic_vector(3 downto 0);
signal count_3: std_logic_vector(3 downto 0);
signal count_4: std_logic_vector(3 downto 0);
begin
k1<=count_1;
k2<=count_2;
k3<=count_3;
k4<=count_4;
process(start,clk1)
begin
if(start='0')then
count_1<="0000";
count_2<="0000";
count_3<="0000";
count_4<="0000"; ---公里清零
elsif(clk1'event and clk1='1')then
if(count_1="1001")then --公里计数器
count_1<="0000";count_2<=count_2+1;temp2<='1';
if(count_2="1001")then
count_2<="0000";count_3<=count_3+'1';
if(count_3="1001")then
count_3<="0000";count_4<=count_4+'1';
end if;
end if;
else
count_1<=count_1+'1';temp2<='0';
end if;
end if;
end process;
end rt1;
4.输出模块
实现所有数据的输出,元件框图6如下:
图6 输出模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity shuchu is
port(y: in std_logic_vector(3 downto 0);
e: out std_logic_vector(6 downto 0));
end shuchu;
architecture rt1of shuchu is
begin
process
begin
case y is
when"0000"=>e<="0111111";
when"0001"=>e<="0000110";
when"0010"=>e<="1011011";
when"0011"=>e<="1001111";
when"0100"=>e<="1100110";
when"0101"=>e<="1101101";
when"0110"=>e<="1111101";
when"0111"=>e<="0000111";
when"1000"=>e<="1111111";
when"1001"=>e<="1100111";
when others=>e<="0000000";
end case;
end process;
end rt1;
5.显示模块
实现所有数据的显示,元件框图7如下:
图7 显示模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity xianshi is
port(start: in std_logic;
a:in std_logic_vector(3 downto 0); --选择信号
c1,c2,c3,c4,out1,out2,out3,out4:in std_logic_vector(3 downto 0); --里程显示,时间显示输入
y:out std_logic_vector(3 downto 0)); --里程显示,时间显示输出
end xianshi;
architecture rt1 of xianshi is
begin
process
begin
if(start='0')then
y<="0000";
else case a is
when "0000"=> y<=c1 ;
when "0001"=> y<=c2 ;
when "0010"=> y<=c3 ;
when "0011"=> y<=c4 ;
when "0100"=> y<=out1 ;
when "0101"=> y<=out2;
when "0110"=> y<=out3 ;
when "0111"=> y<=out4;
when others =>y<= "0000";
end case;
end if;
end process;
end rt1;
6.dian模块
图8 dian模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity dian is
port(a: in std_logic_vector(3 downto 0);
e: out std_logic);
end dian;
architecture rt1 of dian is
begin
process
begin
case a is
when "0001"=>e<='1';
when "0101"=>e<='1';
when others=>e<='0';
end case;
end process;
end rt1;
三、中各个模块设计分析
系统总体顶层框图如下:
系统总体顶层框图
程序最终功能实现波形仿真
1. 分频模块
由于实验箱上没有14hz和13hz的整数倍时钟信号,因此采用频率较大的750khz进行分频,以近似得到14hz,13hz和1hz的时钟频率。通过以上三种不同频率的脉冲信号实行出租车行驶,等待两种情况下的不同计费。模块元件如下:
分频模块框图
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity fenpin is
port(clk_750k:in std_logic; --系统时钟
clk_14:buffer std_logic; --14分频
clk_13:buffer std_logic; --13分频
clk_1 : buffer std_logic); --1分频
end fenpin ;
architecture rt1 of fenpin is
signal q_14:integer range 0 to 53570; --定义中间信号量
signal q_13:integer range 0 to 57691;
signal q_1:integer range 0 to 749999;
begin
process(clk_750k)
begin
If(clk_750k' event and clk_750k='1')then
If q_14=53570 then q_14<=0;clk_14<=not clk_14;
else q_14<=q_14+1;
end if; --得14hz频率信号
If q_13=57691 then q_13<=0;clk_13<=not clk_13;
else q_13<=q_13+1;
end if; --得13hz频率信号
If q_1=749999 then q_1<=0;clk_1<=not clk_1;
else q_1<=q_1+1;
end if; --得1hz频率信号
end if;
end process;
end rt1;
2. 计量模块
计量模块主要完成计时和计程功能。
计时部分:计算乘客的等待累积时间,当等待时间大于2min时,本模块中en1使能信号变为1;当clk1每来一个上升沿,计时器就自增1,计时器的量程为59min,满量程后自动归零。
计程部分:计算乘客所行驶的公里数,当行驶里程大于2km时,本模块中en0使能信号变为1;当clk每来一个上升沿,计程器就自增1,计程器的量程为99km,满量程后自动归零。
元件框图为:
计量模块框图
计量模块仿真波形为:
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity jiliang is
port(start:in std_logic; --计费开始信号
fin:in std_logic; --里程脉冲信号
stop:in std_logic; --行驶中途等待信号
clk1:in std_logic; --驱动脉冲
en1,en0:buffer std_logic; --计费单价使能信号
k1,k0:buffer std_logic_vector(3 downto 0); --行驶公里计数
m1,m0:buffer std_logic_vector(3 downto 0)); --等待时间计数
end jiliang;
architecture rt2 of jiliang is
signal w:integer range 0 to 59; --计时范围0~59
begin
process(clk1)
begin
if(clk1'event and clk1='1')then
if start='0' then
w<=0;en1<='0';en0<='0';m1<="0000";
m0<="0000";k1<="0000";k0<="0000";
elsif stop='1' then --计时开始信号
if w=59 then
w<=0;
else w<=w+1;
end if;
if m0="1001" then
m0<="0000";
if m1="0101" then
m1<="0000";
else m1<=m1+1;
end if;
else m0<=m0+1;
end if;
if stop='1' then en0<='0';
if m1&m0>"00000001" then en1<='1'; --若等待时间大于2min则en1置1
else en1<='0';
end if;
end if;
elsif fin='1' then --里程计数开始
if k0="1001" then k0<="0000";
if k1="1001" then k1<="0000"; --计程范围0~99
else k1<=k1+1;
end if;
else k0<=k0+1;
end if;
if stop='0' then
en1<='0';
if k1&k0>"00000001" then
en0<='1'; --若行使里程大于2km,则en0置1
else en0<='0';
end if;
end if;
end if;
end if;
end process;
end rt2;
3. 控制模块
本模块主要是通过计量模块产生的两个不同的输入使能信号en0,en1,对每个分频模块输出的14hz,13hz的脉冲进行选择输出的过程;本模块实现了双脉冲的二选一;最终目的为了计费模块中对行驶过程中不同的时段进行计价。
模块元件如下:
控制模块框图
控制模块仿真波形为:
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity kong is
port(en0,en1:in std_logic; --使能选择信号
clk_in1:in std_logic; --14分频输入信号
clk_in2:in std_logic; --13分频输入信号
clk_out:out std_logic); --输出信号
end kong;
architecture rt3 of kong is
begin
process(en0,en1)
begin
if en0='1' then --实现二选一功能
clk_out<=clk_in1;
elsif en1='1' then
clk_out<=clk_in2;
end if;
end process;
end rt3;
4.计费模块
当计费信号start一直处于高电平即计费状态时,本模块根据控制模块选择出的信号从而对不同的单价时段进行计费。即行程在2km内,而且等待累计时间小于2min则为起步价5元;2km外以每公里1.4.元计费,等待累积时间超过2min则按每分钟1.3元计费。c0,c1,c2,c3分别表示费用的显示。
模块元件为:
计费模块框图
计费模块仿真波形为:
源程序如下:
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity jifei is
port(clk2:in std_logic; --计费驱动信号
start:in std_logic; --计费开始信号
c0,c1,c2,c3:buffer std_logic_vector(3 downto 0));
end jifei;
architecture rt4 of jifei is
begin
process(clk2,start)
begin
if start='0'then c3<="0000";c2<="0000";c1<="0101";c0<="0000"; --起步价5元
elsif clk2'event and clk2='1'then
if c0="1001" then c0<="0000";
if c1="1001" then c1<="0000";
if c2="1001" then c2<="0000";
if c3="1001" then c3<="0000"; --计价范围0~999.9
else c3<=c3+1;
end if;
else c2<=c2+1;
end if;
else c1<=c1+1;
end if;
else c0<=c0+1;
end if;
end if;
end process;
end rt4;
5.显示模块
显示模块完成计价,计时和计程数据显示。计费数据送入显示模块进行译码,最后送至以百元,十元,元,角为单位对应的数码管上显示。计时数据送入显示模块进行译码,最后送至以分为单位对应的数码管上显示。计程数据送入显示模块进行译码,最后送至以km为单位的数码管上显示。
模块元件为:
显示模块框图
源程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all; --定义库包
entity xianshi is --定义实体
port(
clk_scan:in std_logic; --扫描时钟信号端口设置
c3,c2,c1,c0:in std_logic_vector(3 downto 0); --总费用输入端口
k0,k1:in std_logic_vector(3 downto 0); --里程输入端口
m0,m1:in std_logic_vector(3 downto 0); --等待时间输入端口
sel:out std_logic_vector(2 downto 0); --控制数码管位选信号的扫描信号输出端口
led:out std_logic_vector(6 downto 0); --数码管的控制端口
led_dp:out std_logic --数码管的小数点输出端口
);
end xianshi;
architecture rt5 of xianshi is
signal an:std_logic_vector(6 downto 0); --数码显示管中间变量
signal shuju:std_logic_vector(3 downto 0); --选择输入端的中间变量
signal cnt:std_logic_vector(2 downto 0); --控制数码管的中间变量
signal xiaodian:std_logic; --小数点的中间变量
begin
process(clk_scan) --开始进程
begin
if clk_scan'event and clk_scan='1' then
cnt<=cnt+1; --每有一个扫描信号上升沿实现加1扫描
end if;
end process; --结束进程
process(cnt) --开始进程(选择扫描显示数码管)
begin
case cnt is --扫描时给每个数码管赋值
when "000"=>shuju<=c0;
when "001"=>shuju<=c1;
when "010"=>shuju<=c2;
when "011"=>shuju<=c3;
when "100"=>shuju<=k0;
when "101"=>shuju<=k1;
when "110"=>shuju<=m0;
when "111"=>shuju<=m1;
when others=> null;
end case;
if (cnt="001" or cnt="110")
then xiaodian<='1'; --在里程和总费用的个位处显示小数点
else xiaodian<='0';
end if;
end process; --结束进程
process(shuju) --开始进程(译码显示)
begin
case shuju is
when "0000"=>an<="0111111"; --0
when "0001"=>an<="0000110"; --1
when "0010"=>an<="1011011"; --2
when "0011"=>an<="1001111"; --3
when "0100"=>an<="1100110"; --4
when "0101"=>an<="1101101"; --5
when "0110"=>an<="1111101"; --6
when "0111"=>an<="0000111"; --7
when "1000"=>an<="1111111"; --8
when "1001"=>an<="1101111"; --9
when others=>null;
end case;
end process;
sel<=cnt;
led<=an;
led_dp<=xiaodian;
end rt5;
二、课程设计工作记录:
包括:设计步骤与时间安排、调试步骤与时间安排、课题完成结果说明
2.课题完成结果说明:
此计费器能实现起步价是5元;实现实验要求的1公里计费一次单价,行驶公里大于2km时每公里按1.4元计费并能显示里程和总共的费用。当行驶了6公里,等待了4分钟时,费用显示为15.8元。与计算公式总费用=起步费用+(里程-2公里)*里程单价+等候时间*等后单价;即15.8=5+(6-2)*1.4+4*1.3。实验结果与理论结果完全一致,实验设计成功。
⑶ 出租车计价器安装详细过程
出租车计价器是一种用于测量出租持续时间及根据里程传感器传送的信号测量里程,并以测得的计时时间及里程为依据,计算并显示乘客应付费用的计量器具。
1、安装前准备
(1)安装计价器前必须检查汽车蓄电池、电气设备、各种灯光是否完好,检查里程表是否有指示和抖动,检查高压点电线是否完好,条件具备才能安装。
(2)根据被装出租汽车的收费标准,调整计价器的收费模式,计价器由当地计量部门输入程序经检测合格后方可使用。
(3)根据被装出租汽车的车型,调整K值参数。
(4)用汽车蓄电池检查计价器本机工作是否正常,检查传感器是否灵活。检查空车牌翻动是否灵活,翻倒空车牌灯不亮,立起则亮。
2、安装
(1)安装传感器
车型不同,传感器的接口也就不同,不可乱用,要根据说明书安装。总的原则是:传感器安装在里程表和变速箱之间,最好不要断开软轴将传感器直接装在变速箱出口。软轴不能有死弯或呈S型,其弧度半径要大于20cm,传感器应尽量避开高压点YC系统、高温区和易进水的位置。
(3)出租车里程计量装置的设计扩展阅读:
计价器使用注意事项:
1、操作时动作轻缓、到位即可,不要用太大的力量,以免损坏计价器,当发现手感不对时,应及时进行检修,防止扩大故障范围。
2、注意保持清洁和防水,可延长计价器的使用寿命。汽车在各种环境中行驶,尘土、水、潮气不能避免,要经常擦拭。
3、注意防止暴晒。一般计价器的储存温度为-40℃~+70℃。很多计价器的外壳都是塑料制品,温度过高会导致壳体变形。在夏季,要尽量将车停在阴凉处。
4、憋了等常见故障。
⑷ 机械专业毕业设计题目「」
机械专业毕业设计题目「汇总」
以下是关于机械设计制造及其自动机专业毕业设计题目大全。希望能够帮到大家!
基于数控专业毕业设计
1.C616型普通车床改为经济型数控机床.
2.CA6140型车床的经济型数控改造设计(横向)
3.CA6140经济型数控车床纵向进给系统设计及进给系统的润滑设计
3.c6150普通机床的自动化改造
4.C620普通车床进行数控改造
5.CA6150车床横向进给改造的设计
6.CA6150车床主轴箱设计
7.CJK6256B简易数控车床的的设计
8.XKA5032AC数控立式升降台铣床自动换刀装置(刀库式)设计
9.数控铣高级工零件工艺设计及程序编制
10.共轭凸轮的设计制造(CADCAM)及工艺
11.行星架的数控加工与选用
12.空压机吸气阀盖头加工工艺编程及夹具
13.300X400数控激光切割机设计
14.数控机床位置精度的检测及补偿
15.数控机床位置精度及标准
16.数控铣床工作台仿真实验系统的开发
(零件的加工工艺及夹具设计课题17-42)
17.杠杆工艺和工装设计
18.活塞的机械加工工艺,典型夹具及其CAD设计
19.过桥齿轮轴机械加工工艺规程
20.FA311A一三排罗拉支架加工工艺设计。
21.CA6140车床后托架加工工艺及夹具设计31001-后托架
22.WHX112减速机壳加工工艺及夹具设计
23.WH212减速机壳体加工工艺及夹具设计
24.CA6140拔叉零件的加工工艺及夹具的设计
25.拖拉机拔叉零件的加工工艺及夹具的设计
26.拨叉80-08的加工工艺及夹具设计
27.拨叉(12-07-05)加工工艺及夹具设计
28.CA6140拨叉81002-81005
29.变速器换档叉的工艺过程及装备设计
30.差速器壳体工艺及镗工装设计
31.T350搅拌机工艺工装设计
32.29323联轴器的加工
33.后钢板弹簧吊耳加工工艺及夹具设计
34.连杆孔加工工艺与夹具设计
35.连杆体的机械加工工艺规程的编制
36.锡林右轴承座组件工艺及夹具设计
37.内齿圈成组数控加工工艺及其钻床夹具设计
38.基于Mastercam的收音机上壳的模具设计与加工
39.溜板工艺极其挂架式双引导镗床夹具
40.3L-108空气压缩机曲轴零件的机械加工工艺及夹具设计
41.挂轮架轴工艺过程及工装设计
42.道奇T110总泵缸加工
机械机电设计类及PLC控制类课题43-120
43.A272F系列高速并条机车头箱设计
44.A272F系列高速并条机车尾箱设计。
45.一级圆柱齿轮减速器
46.二级圆柱齿轮减速器 二级直齿圆锥齿轮减速器
47.同轴式二级圆柱齿轮减速器的设计
48.环面蜗轮蜗杆减速器
49.自动洗衣机行星齿轮减速器的设计
50.带式输送机传动装置设计
51.轧钢机减速器的设计
52.Z32K型摇臂钻床变速箱的改进设计
53.无轴承电机的结构设计
54.AWC机架现场扩孔机设计
55.普通钻床改造为多轴钻床
56.钻床的自动化改造及进给系统设计
57.铣床夹具设计
58.粗镗活塞销孔专用机床及夹具设计
59.车床改装成车削平面体的专用机床设计。
60.去毛刺专用机床电气系统控制设计(plc)
61.轴向柱塞泵设计
62.四轴头多工位同步钻床设计
63.钻孔组合机床设计
64.攻丝组合机床设计及夹具设计
65.全液压升降机设计
66.万能外圆磨床液压传动系统设计
67.双铰接剪叉式液压升降台的设计
68.半自动液压专用铣床液压系统的设计
69.掩护式液压支架
70.刮板式流量计设计。
71.封闭式液压阻尼器设计。
72.YZ90机油冷却器气密性能自动测试台的设计。
73.液压上料机械手
74.液压卷花机的设计
75.多层次金属密封蝶阀
76.茶树重修剪机的开发研究
77.燃油喷射装置
78.药品包装机
79.旋转门的设计
80.钢筋弯曲机设计及其运动过程虚拟
81.新KS型单级单吸离心泵的设计
82.管套压装专机设计
83.生产线上运输升降机的自动化设计(PLC)
84.多用途气动机器人结构设计
85.机油冷却器自动装备线压紧工位装备设计
86.搅拌器的设计
87.精密播种机
88.马铃薯收获机
89.马铃薯播种机
90.插秧机系统设计
91.ZL15型轮式装载机
92.十二孔钻削组合机床
93.运载机器人的设计制作
94.凸轮轴加工自动线机械手
95.弧齿圆锥齿轮结构设计
96.给喷油泵下体零件设计组合机床
97.中直缝焊接机设计
98.步进梁式再加热炉设计。
99.立轴的工艺系统设计。
100.法兰盘加工的回转工作台设计。
101.SFY-B-2锤片粉碎机设计。
102.HFJ6351D型汽车工具箱盖
103.CG2-150型仿型切割机
104.矿车轮对拆卸机设计
105.滚筒采煤机截割部的设计
106.搬运机械手控制系统的设计
107.多功能传动试验台的设计与CAD
108.单片机控制的两坐标工作台的结构和插补程序设计
109.钢珠式减振器在铣床模型机上的减振实验研究
110.卧式铣床主轴悬臂梁系统振动减振问题的模拟实验研究
111.FXS80双出风口笼形转子选粉机
112.Φ1200熟料圆锥式破碎机
113.内循环式烘干机总体及卸料装置设计
114.新型组合式选粉机总体及分级部分设计
115.螺旋管状面筋机总体及坯片导出装置设计
116.五轴激光三维化测量系统设计
117.谐波齿轮机构的设计
118.高剪切均质机
119.高压均质机传动端的设计及运动仿真
120.WE67K-5004000液压板料折弯机
基于模具设计毕业设计
121.PP(聚丙烯共聚物)直弯管的设计。
122.离合器板精冲成形模具设计。
123.汽车输油管的模具设计。
124.台灯灯座注塑模的`设计与制造。
125.年产60吨均苯四酸二酐装置设计(精制部分)
126.线圈架塑料模设计
127.塑料拉手注塑模具设计(三维造型,P/E)
128.心型台灯塑料注塑模具毕业设计
129.直岗拉卡水电站电气一次及发电机继电保护设计
130.注塑模具毕业设计(闹钟后盖的设计)
131.旋纽模具的设计
132.油封骨架冲压模具
133.订书机外壳注射模设计(三维造型,P/E)
134.DVD遥控器前盖塑料模设计(三维造型,P/E)
135.加水盖注射模设计
136.JLY3809机立窑(总体及传动部件)设计
137.Q3110滚筒式抛丸清理机的设计(总装、滚筒及传动机构设计)
138.SF500100打散分级机总体及机架设计
139.YQP36预加水盘式成球机设计
140.柴油机齿轮室盖钻镗专机总体及主轴箱设计
141.X700涡旋式选粉机(转子部件)设计
142.X700涡旋式选粉机(壳体及传动部件)设计
143.基于ProE二次开发的端盖参数化模型的实现
144.基于ProE的弹簧模型库二次开发
145.基于ProE的齿轮模型库二次开发
(模具设计类课题146-171)
146.微电机转子冲片(冲压模具)
147.大油壶盖注塑模具设计
148.低压包注射模具设计
149.调焦导向盘侧向冲孔模设计
150.开关座注射模具设计
151.接线端子板冲孔、落料、压弯复合模设计
152.尼龙66座模具设计
153.前盖注塑模设计
154.绕线架注塑模设计
155.刷座注塑模设计
156.特殊结构注塑模具设计
157.桶盖注射模设计
158.微电机定子硅钢片落料、冲槽复合模设计
159.下端盖切口弯曲模设计
160.压簧级进自动模设计
161.支架冲孔、压弯、切断连续模设计
162.制动器轴端外壳落料拉深复合模设计
163.轴封端盖落料,冲孔,拉深,翻边复合模设计
164.模具-Φ146.6药瓶注塑模设计
165.模具-冰箱调温按钮塑模设计
166.模具-电机炭刷架冷冲压模具设计
167.喷嘴衬卷模具
168.手提式塑料篮注塑模具设计
169.录音机放音键冲压模及排样优化
170.塑料水杯模具的研制
171.洗发水瓶盖注塑模具设计
机械设计类毕业设计
172.T6113镗床电气控制系统的设计
173.机电一体化-连杆平行度测量仪
174.设计-棒料切割机
175.设计-外圆磨床设计
176.长途客车乘客门及舱门设计
177.乘客电梯的PLC控制
178.出租车计价器系统设计
179.电动自行车调速系统的设计
180.金属粉末成型液压机PLC设计
181.JX047四层楼电梯自动控制系统的设计
182.Z30130X31型钻床控制系统的PLC改造
183.接机平台、苗木输送系统的设计及总装图
184.康复机器人的系统设计
185.套类零件自动上下料机构设计
186.1G-100型水旱两用旋耕机设计
187.设计-工程钻机的设计
188.CA6136车床手柄座工艺及夹具设计
189.空气压缩机V带校核和噪声处理设计
190.CA6140车床主轴箱的设计
191.YDY1000螺旋压滤机原理方案及结构设计
192.咖啡粉枕式包装机总体设计及计量装置设计
193.空心铆钉机总体及送料系统设计
194.气缸体双工位专用钻床总体及左主轴箱设计
195.CA6140拨叉831004
196.CA6140拨叉831005
197.CA6140车床拨叉831003
198.拔叉84009夹具设计与工序设计
199.拨叉831002毕业设计
200.螺纹套管密封试压装置设计
201.X53K立式数控铣床纵向进给改造设计
202.C6136型经济型数控改造(横向)
203.柴油机箱体组合机床设计
204.CA6140普通车床的经济型数控改造设计
205.数控车床电动刀架
206.双面铣床组合机床
207.组合机床设计
模具设计类毕业设计
208.变压器外壳注射模设计
209.电阀罩壳落料拉深模设计
210.电话机按纽模设计
211.电视机调幅盒塑料注射模设计
212.电源盒注射模设计
213.电子端盖注射模设计
214.垫圈落料、冲孔复合模设计
215.ABS塑模设计
216.放大镜框塑模设计
217.盖子注射模设计
218.衬套注射模设计
219.玻璃升降器外壳的设计
220.四驱车车轮注塑模设计
221.电阀罩壳落料拉深模设计
222.密封内盖塑胶模具设计
223.瓶盖注射模设计
224.瓶塞注射模设计
225.锁壳冲裁模具设计
226.锁壳拉伸复合模设计
227.外盖塑模设计
228.万向脚轮边盖注射模设计
229.洗面奶瓶盖注射模设计
230.照相机支架塑模设计
231.止动片冲模设计
232.贮油盖注射模设计
;⑸ 出租车计价器发票可以多打钱吗
租车的计价器不可以多打钱,必须按正规程序走,否则是属于欺欺骗行为。计程表是计里程的,多少公里打多少表,你给多少钱。
出租车计价器是一种计量器具,用于测量出租持续时间及依据里程传感器传送的信号测量里程,并以测得的计时时间及里程为依据,计算并显示乘客出租车应付的费用。
机打发票可详细记载车号、经营企业、乘车时间、乘车历程、乘车费用等详细信息。也就是说,今后,乘客乘坐出租车时,计价器一旦启动,就开始计费,到达目的地后,“空车”灯一亮,机打票就会打印出来,不管乘客有没有索要发票,机器都会自动打印发票,发票将显示出车牌号、上车时间、里程、金额等信息,能有效地监督司机驾驶路线和及时找回乘客丢失物品。这种计价器还可以根据客人上车情况,分批计价,相较于旧版计价器增加了合乘拼车打表功能。现在出租车计价器出具发票主要的作用有以下三点:一是能有效维护乘客的合法权益,和避免驾驶员不主动出示发票的情况;二是能有效减少乘客投诉或者是乘客能准确投诉;三是乘客通过出租车出具的发票能够有效的查找遗失物品。
对出租车计价器的要求包括:
1.计价器应有金属铭牌,铭牌上应注明:制造厂名称、产品名称、产品型号、出厂编号、制造日期、制造计量器具许可证标志及编号。
1. 计价器应坚固耐用,其壳体表面不应有凹痕、划伤、裂缝、变形等现象。表面涂镀层不应起泡、龟裂和脱落。金属部件不应有锈蚀及其他机械损伤。壳体应有铅封耳(孔)用于加装铅封。开关、按键操作应灵活可靠。说明功能的文字符号和标志应清晰端正。
2. 传感器应直接将车辆变速器的转动信号有效地转换为电信号。计价器安装到车辆上必须使用与计价器匹配的独立传感器,不能直接使用车辆提供的电信号。
3. 空车牌结构应牢固,翻动灵活,接触可靠。
4. 对于禁止接触和禁止调整的器件或控制器应采取保护性措施,影响计价器计量性能的调整开关、控制开关或按钮均应置于机壳内。且在前面板或右前侧部位的外壳开有调整窗,井加铅封。在不移动计价器的情况下,面对计价器正面板即可看到铅封。在不打开铅封的情况下不能调整计价器的内设参数。使用一个铅封应能同时封住壳体及调整窗。
5. 计价器的机外键不得多于三个。
综上所述:出租车计价器发票是不能多打钱的,只能依据系统内的计算方式进行发票金额打印。多打发票属于违法行为,严重的可能会设计达到犯罪。
⑹ 吉林省出租汽车里程计价器管理暂行办法
第一章总则第一条为了加强对出租汽车里程计价器(以下简称计价器)的监督管理,维护社会经济秩序,保障国家、集体和乘客的利益,根据《中华人民共和国计量法》、《中华人民共和国强制检定的工作计量器具检定管理办法》以及《吉林省计量管理条例》的有关规定,制定本办法。第二条本办法适用于在我省境内从事小型汽车出租业务和出租车计价器安装、检定、修理活动的单位和个人。第三条县级以上计量行政部门对计价器统一实施监督管理。
各地出租汽车主管部门对所管辖的出租汽车使用的计价器实行监督管理。第二章计价器的安装第四条小型客运、小型客货混运出租汽车必须安装计价器。对未安装计价器的,出租汽车管理部门不予办理《客运许可证》。交通管理部门不予年检。第五条安装计价器必须符合下列规定:
一、计价器必须安装在车内明显位置,并贴有物价部门批准的收费标价;
二、带有打印机的计价器,其打印机应能正常工作。第三章计价器的检定第六条计价器安装后,出租汽车经营者必须向当地计量行政部门备案,并与由当地计量行政部门确定的计量检定机构签订强制检定执行书。第七条计价器必须由计量检定机构检定合格,加检定铅封印并发给检定证书后,方可使用。第八条计价器必须按确定的检定周期进行强制检定。对未进行周期检定的。交通管理部门不予年检。
计价器的检定周期由计量检定机构依据计价器检定规程和实际使用情况确定。
计量检定机构与经营出租汽车出租业务的单位和个人进行计价器周期检定时,必须遵守强制检定执行书的规定。第九条计量检定机构检定计价器,应按省物价部门会同省计量行政部门制定的收费标准收取检定费,不得擅自提高收费标准。第四章计价器的使用、修理第十条使用计价器时应正确执行操作规程,不得破坏其准确度或出具假数据欺骗用户。第十一条超过检定周期或经检定不合格的计价器不准使用。第十二条计价器在检定证书规定的有效期内发生故障,影响准确计价的,应及时修理并经检定合格后,方准使用。第十三条出租汽车经营者更换计价器或为出租汽车更换不同规格、型号的汽车驱动轮胎时,必须按规定重新检定计价器,检定合格后方准使用。第十四条出租汽车司机行车应携带计价器检定证书。任何单位和个人不得涂改检定证书,破坏检定铅封印,严禁私自拆卸、装配计价器。第十五条因计价器准确度引起的纠纷,可申请当地计量行政部门调解或仲裁检定。第五章处罚第十六条对违反本办法第四条规定未安装计价器的,给予批评教育,责令其停止营运和按规定安装计价器;教育不改,继续营运的,处以一千元罚款,并由出租汽车主管部门吊销其《客运许可证》。第十七条对违反本办法第十条规定,破坏计价器准确度或出具假数据欺骗用户,多收取费用的,责令其返还多收的费用,并处以其非法所得二十倍的罚款,但罚款最高额不得超过二千元。第十八条对计价器未按规定进行检定而投入使用或经检定不合格继续使用的,责令其停止营运,并处以三百元的罚款。第十九条对违反本办法第十二条规定的,责令其停止营运,立即进行修理并检定,并处以三百元的罚款。第二十条对违反本办法第十三条规定的,责令其重新检定,并处以三百元的罚款。第二十一条对违反本办法第十四条规定,涂改检定证书的,处以二十元的罚款;对破坏铅封、私自拆卸、装配计价器的,责令其重新检定,并处以五百元的罚款。第二十二条本办法规定的行政处罚由县级以上计量行政部门、当地出租汽车主管部门执行。第二十三条对当事人拒不执行第十七条、第十八条、第十九条、第二十条、第二十一条规定的行政处罚的,由当地出租汽车主管部门吊销其《客运许可证》。第二十四条本办法第十七条规定的行政处罚,也可以由工商行政管理部门、物价管理部门执行。第二十五条对拒绝、阻碍国家工作人员依据本办法执行公务的,由公安机关按照《中华人民共和国治安管理处罚条例》的规定处理;构成犯罪的,由司法机关依法追究刑事责任。第六章附则第二十六条本办法与国家规定有抵触的,按国家规定执行。第二十七条本办法自发布之日起施行。
⑺ 出租车计价器07是什么意思
意思是计价器故障了。
首先检查传感器是否与出租车的变速输出齿的短轴安装牢固,防止打滑。然后检查变速箱输出齿自身传动是否正常。最后检查传感器是否良好。在确信传感器的接线正确时,可慢慢转动传感器的轴芯,同时用电压表检查测信号端的电压有无变化,变化的幅度是否较大,若有变化且幅度较大,则传感器是好的,若无变化或变化较小,则传感器是坏的。传感器损坏的可能原因是霍尔元件损坏。
出租车计价器是一种计量器具,用于测量出租持续时间及依据里程传感器传送的信号测量里程,并以测得的计时时间及里程为依据,计算并显示乘客出租车应付的费用。
⑻ 出租车计价器怎么固定在车上
用胶粘或用自攻螺钉固定
出租车计价器是一种计量器具,用于测量出租持续时间及依据里程传感器传送的信号测量里程,并以测得的计时时间及里程为依据,计算并显示乘客出租车应付的费用。
出租车,供人临时雇佣的汽车,多按里程或时间收费。台湾称作“计程车”,湖南、广东及港澳地区称为“的士”,新加坡及马来西亚一带则称为“德士”,上海称作“差头(cā dǒu)”出租车英文“taxi”为“taximeter”之略称,即为“计程表”或 “里程计”。
⑼ 出租车计价器缺纸不工作怎么办
只是打印不了票据了,并不会损坏计价器,即时更换票据纸张即可。
出租车计价器是一种计量器具,用于测量出租持续时间及依据里程传感器传送的信号测量里程,并以测得的计时时间及里程为依据,计算并显示乘客出租车应付的费用。
显示器,打印机,这五个零部件,再通过线路连接。也可以自己做车速传感器,采用霍尔效应做,车速信号为脉冲信号,采集脉冲信号的频率就可以得到车速,可以用到芯片的定时器捕获。如果车速大于一定的值,采用公里数计算价格,如果车速小于这个值,就可以用时间计算。
计算公里数:应用芯片公里数。计算时间:用到芯片定时器确定时间,或者用RTC中断采集到更精确的时间,以及价格,可以用芯片驱动数码管或者用芯片驱动液晶空车牌(也可以自己做车速传感器,采用霍尔效应做)来提供计价器计价的信号。车速信号为脉冲信号,采集脉冲信号的频率就可以得到车速,可以用到芯片的定时器捕获。
⑽ 为什么出租车计时器的钱不是最终价格
因为当你到达目的地时才会有最终价格。
出租车计价器是一种计量器具,用于测量出租持续时间及依据里程传感器传送的信号测量里程,并以测得的计时时间及里程为依据,计算并显示乘客出租车应付的费用。
如果车速大于一定的值,采用公里数计算价格,如果车速小于这个值,就可以用时间计算。计算公里数应用芯片计算其公里数,用到芯片定时器确定时间,或者用RTC中断采集到更精确的时间,以及价格,可以用芯片驱动数码管或者用芯片驱动液晶空车牌(也可以自己做车速传感器,采用霍尔效应做)来提供计价器计价的信号。